![]() Support apparatus for semiconductor wafer processing
专利摘要:
A support apparatus for minimizing gravitational stress in semiconductor wafers, and particularly silicon wafers, during thermal processing. The support apparatus comprises two concentric circular support structures disposed on a common support fixture. The two concentric circular support structures, located generally at between 10 and 70% and 70 and 100% and preferably at 35 and 82.3% of the semiconductor wafer radius, can be either solid rings or a plurality of spaced support points spaced apart from each other in a substantially uniform manner. Further, the support structures can have segments removed to facilitate wafer loading and unloading. In order to withstand the elevated temperatures encountered during semiconductor wafer processing, the support apparatus, including the concentric circular support structures and support fixture can be fabricated from refractory materials, such as silicon carbide, quartz and graphite. The claimed wafer support apparatus can be readily adapted for use in either batch or single-wafer processors. 公开号:US20010001953A1 申请号:US08/891,304 申请日:1997-07-10 公开日:2001-05-31 发明作者:Stewart K. Griffiths;Robert H. Nilson;Kenneth J. Torres 申请人:Sandia Corp; IPC主号:H01L21-6875
专利说明:
[0002] Not Applicable [0002] BACKGROUND OF THE INVENTION [0003] The present invention pertains generally to apparatus for supporting semiconductor wafers during thermal processing and particularly to apparatus for supporting silicon wafers during high temperature processing such that gravitational stresses are mitigated. [0003] [0004] Modern microelectronic devices or integrated circuits (ICs) are fabricated using processes in which hundreds of individual ICs or dies are produced simultaneously on a single silicon wafer. The production of ICs generally requires several dozen thermal and deposition processes, all of which are performed on these monolithic single-crystal wafers. These processes are performed either in single-wafer tools or in large batch furnaces containing up to a few hundred wafers. After processing, the wafers are cut apart to produce individual IC dies. [0004] [0005] The historical trends of reduced IC costs and increased productivity in microelectronics manufacturing have been obtained in part by employing progressively larger silicon wafers. Increasing the silicon wafer size allows more IC dies to be produced from each silicon wafer. This reduces unit IC costs by reducing handling costs and by increasing the areal throughputs of both batch and single-wafer tools. The trend of increasing silicon wafer size is also driven in part by the continuously increasing size of IC dies. As die sizes increase, the silicon wafer size must also increase to permit the same number of dies on each wafer. Current production wafers range in diameter up to 200 mm, but the introduction of 300 mm wafers is now under way. [0005] [0006] As silicon wafers are heated and cooled during thermal and deposition processes, temperature variations arise across the wafer face. These temperature variations give rise to thermal stress in the wafer. If the thermal stress exceeds the yield strength of the wafer, slip lines or other microstructural defects will be produced in the wafer crystal. Such defects lead to failure of IC devices and so must be strictly avoided. Consequently, the importance of controlling thermal stress has been widely recognized. The heating and cooling rates and the push and pull rates at which silicon wafers are inserted into or withdrawn from batch furnaces have both been reduced for larger wafer sizes in order to reduce temperature variations across the wafers. On the other hand, it is desirable to increase heating and cooling rates in order to increase wafer throughput. Recently, new batch furnace designs have been developed in which the wafer spacing is increased to reduce temperature variations and so permit more rapid heating and cooling. [0006] [0007] Thermal stress is just one of several size-dependent sources of stress in silicon wafers. As wafer sizes increase, gravitational stress also increases. Like thermal stress, gravitational stress will lead to crystal defects if their values exceed the yield strength of the wafer. These stresses arise from supporting the weight of the wafer on a limited number of points and originate both from the local effects of the support and, more importantly, from bending of the wafer due to its weight in unsupported regions. In the past, wafer diameters were small enough and wafer thicknesses were sufficiently large such that gravitational stresses were quite small. As such, gravitational stresses have not been a serious concern, and a wide variety of support methods have been used successfully for wafer sizes up to 200 mm. [0007] [0008] Silicon wafer thicknesses have historically increased at rates much lower than those of wafer diameters. Nominal wafer thicknesses are 625, 725 and 775 μm at wafer diameters of 150, 200 and 300 mm. Since gravitational stresses generally scale as the square of the wafer diameter and inversely as the wafer thickness, gravitational stresses will increase even if the wafer thickness grew in proportion to the wafer size. As a result, gravitational stresses have increased dramatically with increasing wafer size for any fixed support geometry. The increasing importance of gravitational stresses and the necessity for reducing or eliminating gravitational stresses by providing proper support for wafers during thermal processing has been recognized as described in U.S. Pat. Nos. 5,492,229 and 5,605,574, by way of example. [0008] [0009] FIG. 1 illustrates the influence of wafer size on gravitational stress for the wafer thickness variation shown by the dotted line in FIG. 2. Here the computed maximum shear stress appearing anywhere on the wafer is shown for several common support geometries (B, C, and D). The common geometries are: (B) a single ring located at about 70% of the wafer radius; (C) a single ring located at the wafer edge; and (D) a three-point support having support locations at the wafer edge and at angular positions of 0, 90, and 180 degrees. The last of these is a very common support geometry for batch furnaces because it permits insertion and withdrawal of the wafers from the front of the multi-wafer support structure referred to as a boat. [0009] [0010] FIG. 1 shows that gravitational stress increases rapidly with increasing wafer size. Between 200 and 300 mm wafer diameters, the maximum gravitational stress roughly doubles for all support geometries. Further, the method of support plays an important role in determining these stresses. The maximum shear stress on a wafer for three point support is about a factor of ten above that for an edge ring and nearly a factor of forty above that for a ring placed well in from the wafer edge (70% of the wafer diameter). [0010] [0011] Gravitational stresses do not vary with temperature they depend only on the wafer diameter, wafer thickness and the support geometry. In contrast, the strength of silicon falls rapidly as the temperature is increased. As a result, the fixed gravitational stress becomes a larger fraction of the decreasing yield strength as the silicon wafer temperature is increased. With increasing temperatures, the yield strength of the silicon wafer decreases to the point at which it becomes equal to the gravitational stress. At this point, the strength of the silicon has dropped to a level where the silicon wafer is failing under the stress of its own weight. It will then deform plastically, and defects will be produced in the crystal structure of the silicon wafer. This behavior has an important practical consequence in limiting both the maximum possible processing temperature as well as the allowable ramp rate (the rate at which the furnace and the silicon wafer temperatures increase or decrease). [0011] [0012] Allowable ramp rates and maximum operating temperatures of batch furnaces are limited by the combined effects of thermal and gravitational stresses. As described hereinabove, thermal stress arises from the radial temperature gradients associated with heating and cooling of wafers. Faster ramp rates produce larger temperature differences and, hence, larger thermal stresses. Gravitational stress is associated with bending of the wafer under its own weight. With increasing wafer diameter, thermal stress remains invariant (for a given radial temperature difference) while gravitational stress increases as the square of the wafer diameter. Thus, as the wafer size increases the reduction of gravitational stress becomes of increasing importance in allowing higher maximum operating temperatures and increased furnace ramp rates. [0012] [0013] FIG. 2 shows computed maximum allowable processing temperatures as a function of wafer size for the support geometries previously considered in FIG. 1. Note that support geometry has a strong influence on the maximum temperature. For a 200 mm wafer, the maximum temperature that can be sustained varies by almost 400° C. between the three-point and ring support geometries (B, C, and D). Further, for a 300 mm wafer the maximum processing temperature for the three point geometry is just above 900° C. This is well below the desired maximum of about 1200° C. needed to accommodate the full range of thermal and deposition processes. Similarly, the computed maximum for a 300 mm wafer supported by a full edge ring (FIG. 2, curve C) is only about 1150° C. Thus even this better support geometry will likely not be sufficient for all processes of practical interest. Although many of the maximum temperatures shown in FIG. 2 are above those of practical interest, these results include only gravitational stress. Other sources, such as thermal and film stresses, will also contribute to the total stress and thus will reduce the maximum allowable temperature. [0013] [0014] Ring supports tend to minimize gravitational stress relative to point supports by reducing stresses near the support locations. For most placements of a single ring, the maximum stress occurs not at the support location, but instead near the center or edge of the wafer. It is known in the art that gravitational stress is minimized for a single ring support if the ring diameter is about 70% of the wafer diameter, regardless of the wafer size. This is one of the geometries considered in the results shown in FIGS. 1 and 2. For a 300 mm wafer, this support geometry gives a maximum allowable processing temperature of about 1300° C. Although this is above most temperatures of practical importance, combined thermal and gravitational stresses would likely yield an actual maximum temperature that is below the desired values for some processes. Moreover, the single ring support is undesirable for batch wafer processing applications because it obstructs front end loading of a batch furnace. Therefore, there is a need for further reduction of gravitational stress coupled with a geometry that will not obstruct efficient loading and unloading of a batch furnace or single wafer processor. [0014] SUMMARY OF THE INVENTION [0015] The present invention provides a novel support apparatus for semiconductor wafers, and particularly silicon wafers, that offers a significant reduction in the magnitude of gravitational stress for all wafer sizes during thermal processing. As silicon wafer diameters increase beyond 200 mm, reductions in gravitational stress will become increasingly important with respect to the continued use of high-temperature thermal and deposition processes in microelectronics manufacturing. Because reducing gravitational stress will permit higher heating and cooling rates in batch furnaces, this apparatus will also help reduce processing times and costs. Finally, the claimed wafer support apparatus can be readily adapted for use in either batch or single-wafer processors. [0015] BRIEF DESCRIPTION OF THE DRAWINGS [0016] FIG. 1 shows gravitational stress as a function of wafer size for various wafer support structures. [0016] [0017] FIG. 2 shows the maximum allowable processing temperature as a function of size for various wafer support structures. [0017] [0018] FIG. 3 shows maximum shear stress as a function of ring support position. [0018] [0019] FIG. 4 shows a concentric ring embodiment of a support structure. [0019] [0020] FIG. 5 illustrates a spaced point embodiment. [0020] DETAILED DESCRIPTION OF THE INVENTION [0021] The inventors have determined that gravitational stress that arises in large diameter semiconductor wafers during thermal processing can be minimized by the use of two concentric support structures, particularly circular support structures and preferably rings, and have identified the optimum positions of the two concentric support structures. This optimum placement of the concentric support structures was determined by computing the maximum shear stress occurring anywhere in the wafer for all possible combinations of support positions and selecting those positions which gave the lowest maximum stress. A portion of this process is illustrated in FIG. 3 for 200 mm wafers. Here, one of two support structures, in the form of rings, is held at the optimum position while the position of the other is varied over some range. Such variation in the two ring positions yields two very strong minima in the maximum stress when the inner ring radius is at 35.0 mm and the outer ring radius is at 82.3 mm. Note that the irregular character of these two curves results from abrupt changes in the location of the maximum stress as the support locations of the rings are continuously varied. [0021] [0022] The optimum placement of two concentric support structures produces several unique conditions. Namely, one-third of the wafer weight is carried on the inner structure and the remaining two-thirds is carried on the outer structure. Also, the maximum stress occurs simultaneously at two points on the wafer, corresponding to the two support positions. The stress at these two locations is the same when each support structure is placed at its optimum position. [0022] [0023] FIG. 1 (curve A) shows that optimum placement of two concentric ring support structures reduces the maximum gravitational stress by more than a factor of 100 below that for the three-point support and by more than a factor of 10 below that for a single ring support at the wafer edge. Compared with the single ring at the optimum position, the maximum stress for a two ring support structure at the optimum positions is reduced by an additional factor of three. Similarly, FIG. 2 (curve A) shows that the present optimum configuration for a two-ring support structure should permit a maximum processing temperature of about 130° C. above that for a single ring support at the optimum position, about 290° C. above that for a single ring support at the wafer edge, and over 500° C. above that for a three-point support. [0023] [0024] Wafer diameter does not affect the optimal support placement, provided that the radii of the inner and outer support structures are scaled with the wafer size. By way of example, as seen in FIG. 3, the maximum shear stress for a 200 mm silicon wafer shows strong minima when the inner and outer support structures (support rings) are located at 35.0 and 82.3 mm, respectively, from the center of the wafer. Maximum stresses increase rapidly as the support structures (here, support rings) are moved from these optimum positions. Similarly, the optimum support positions for the inner and outer support rings for a 300 mm silicon wafer are at 52.5 and 123.4 mm from the center of the wafer, respectively. These are the same relative positions of 2r/d=0.350 and 0.823 found for a 200 mm wafer. Thus, for both wafer sizes, the minimum stress on the wafer is obtained when the inner and outer ring radii are 35.0% and 82.3% of the wafer radius, respectively. This result applies to any wafer size, and so provides a general basis for specifying the optimum radial positions of two concentric support structures. [0024] [0025] FIGS. 4 and 5 show two embodiments of the present invention. In the first embodiment, the inner and outer support structures are continuous rings. The two rings can be attached to a support fixture such as a common plate, to one or more common rods, or to some other structure that holds the two rings so that their top surfaces lie substantially in a horizontal plane and rigid so that the two rings remain concentric. For batch furnace applications, several such wafer support structures and their associated support fixtures can be placed one above the other in a container such as a vertical carrier means to permit optimum support of multiple wafers in a large stack. The rings shown in FIG. 4 are continuous and thus present an obstruction to loading of a batch furnace. However, in practice segments can be removed from either or both of the rings to provide access for wafer loading and unloading means. [0025] [0026] In a second embodiment of the present support apparatus, shown in FIG. 5, the concentric support structures, such as the ring support structures illustrated in FIG. 4, can comprise discrete spaced points spaced apart in a substantially uniform manner and arranged in circular and concentric patterns at either or both of the optimum radii of 2r/d=0.350 and 0.823. These support points similarly are attached to some underlying support fixture to form a support assembly. Moreover, a few support points can be omitted to provide access for wafer loading and unloading means. If the number of support points in both the inner and outer circular patterns are sufficiently large (greater than one and preferably four for the inner support structure and greater than three and preferably eight for the outer support structure), the maximum stress produced by the second embodiment will be only slightly greater than that produced by the first embodiment. [0026] [0027] Because the present support apparatus is subjected to elevated temperatures during thermal processing it is desirable to fabricate the support apparatus, including the concentric support structures and support fixture from refractory materials, such as silicon carbide, quartz and graphite. [0027] [0028] From the foregoing description and information, one skilled in the art can readily ascertain the essential characteristics of the present invention. The description and information presented above are intended to be illustrative of the present invention and are not to be construed as limitations or restrictions thereon, the invention being delineated in the following claims. [0028] SEQUENCE LISTING [0029] Not Applicable. [0029]
权利要求:
Claims (32) [1" id="US-20010001953-A1-CLM-00001] 1. An apparatus for supporting a semiconductor wafer during thermal processing, comprising: a support fixture for supporting the semiconductor wafer; and an inner and an outer concentric support structure disposed on said support fixture. [2" id="US-20010001953-A1-CLM-00002] 2. The apparatus of claim 1 , wherein the inner concentric support structure is located from between 10% and 70% of the semiconductor wafer radius and the outer concentric support structure is located from between 70% and 100% of the semiconductor wafer radius. [3" id="US-20010001953-A1-CLM-00003] 3. The apparatus of claim 1 , wherein the inner and outer concentric support structures each comprise a ring. [4" id="US-20010001953-A1-CLM-00004] 4. The apparatus of claim 3 , wherein the inner support ring is located about 35.0% of the radius of the semiconductor wafer and the outer support ring is located about 82.3% of the semiconductor wafer radius. [5" id="US-20010001953-A1-CLM-00005] 5. The apparatus of claim 3 , wherein each of said concentric support structures has a segment removed to permit access for semiconductor wafer loading and unloading means. [6" id="US-20010001953-A1-CLM-00006] 6. The apparatus of claim 1 , wherein at least one concentric support structure is comprised of a plurality of spaced support points spaced apart from one another in a substantially uniform manner. [7" id="US-20010001953-A1-CLM-00007] 7. The apparatus of claim 6 , wherein one or more spaced support points is removed from the inner and outer plurality of spaced support points to permit access for semiconductor wafer loading and unloading means. [8" id="US-20010001953-A1-CLM-00008] 8. The apparatus of claim 6 , wherein the number of spaced support points comprising the inner circular support structure is greater than one. [9" id="US-20010001953-A1-CLM-00009] 9. The apparatus of claim 6 , wherein the number of spaced support points comprising the outer circular support structure is greater than three. [10" id="US-20010001953-A1-CLM-00010] 10. The apparatus of claim 1 , wherein said support fixture comprises a plate-like member having said inner and outer concentric support structures affixed thereto such that the upper surfaces of said inner and outer concentric support structures lie in a substantially horizontal common plane. [11" id="US-20010001953-A1-CLM-00011] 11. The apparatus of claim 1 , wherein said support fixture comprises rod-like means having said inner and outer concentric support structures affixed thereto such that the upper surfaces of said concentric support structures lie in a substantially horizontal common plane. [12" id="US-20010001953-A1-CLM-00012] 12. A vertical carrier means for supporting a plurality of semiconductor wafers during thermal processing, comprising: a plurality of support fixtures for supporting semiconductor wafers, said plurality vertically extending between a top end and a bottom end of the carrier and spaced in a substantially uniform manner between the top and bottom ends of the carrier; and an inner and an outer concentric support structure disposed on each support member. [13" id="US-20010001953-A1-CLM-00013] 13. The vertical carrier of claim 12 , wherein the inner concentric support structure is from between 10% and 70% of the semiconductor wafer radius and the radius of the outer concentric support structure is from between 70% and 100% of the semiconductor wafer radius. [14" id="US-20010001953-A1-CLM-00014] 14. The vertical carrier of claim 12 , wherein the inner and outer concentric support structures each comprise a ring. [15" id="US-20010001953-A1-CLM-00015] 15. The vertical carrier of claim 14 , wherein the radius of the inner ring is about 35.0% of the radius of the semiconductor wafer and the radius of the outer ring is about 82.3% of the semiconductor wafer radius. [16" id="US-20010001953-A1-CLM-00016] 16. The vertical carrier of claim 12 , wherein each of said inner and outer concentric circular support structures has a segment removed to permit access for semiconductor wafer loading and unloading means. [17" id="US-20010001953-A1-CLM-00017] 17. The vertical carrier of claim 12 , wherein said support fixture comprises a plate-like member having said inner and outer concentric support structures affixed thereto such that the upper surfaces of said inner and outer concentric support structures lie in a substantially horizontal common plane. [18" id="US-20010001953-A1-CLM-00018] 18. The vertical carrier of claim 12 , wherein said support fixture comprises rod-like means having said inner and outer concentric support structures affixed thereto such that the upper surfaces of said concentric support structures lie in a substantially horizontal common plane. [19" id="US-20010001953-A1-CLM-00019] 19. The vertical carrier of claim 12 , wherein at least one concentric support structure is comprised of a plurality of spaced support points spaced apart from each other in a substantially uniform manner. [20" id="US-20010001953-A1-CLM-00020] 20. The vertical carrier of claim 19 , wherein one or more support points is removed from the inner and plurality of spaced support points to permit access for semiconductor wafer loading and unloading means. [21" id="US-20010001953-A1-CLM-00021] 21. The vertical carrier of claim 19 , wherein the number of spaced support points comprising the inner circular support ring is greater than one. [22" id="US-20010001953-A1-CLM-00022] 22. The container of claim 19 , wherein the number of spaced support points comprising the outer circular support structure is greater than three. [23" id="US-20010001953-A1-CLM-00023] 23. The apparatus of claim 1 , wherein the inner and outer continuous concentric circular support structures and the support fixture are selected from the group consisting of quartz, silicon carbide and graphite. [24" id="US-20010001953-A1-CLM-00024] 24. The vertical carrier of claim 16 , wherein the plurality of support fixtures and the concentric circular support structures are selected from the group consisting of quartz, silicon carbide and graphite. [25" id="US-20010001953-A1-CLM-00025] 25. A method for supporting a semiconductor wafer during thermal processing, comprising: placing the semiconductor wafer onto a support fixture, the support fixture including; an inner and an outer concentric support structure, wherein the inner support structure is located from between 10% and 70% of the semiconductor wafer radius and the outer concentric support structure is located from between 70% and 100% of the semiconductor wafer radius. [26" id="US-20010001953-A1-CLM-00026] 26. The method of claim 25 , wherein the inner and outer concentric support structures each comprise a ring. [27" id="US-20010001953-A1-CLM-00027] 27. The method of claim 25 , wherein each concentric support structure is comprised of a plurality of spaced support points spaced apart from one another in a substantially uniform manner. [28" id="US-20010001953-A1-CLM-00028] 28. A support assembly for supporting a semiconductor wafer during processing, comprising: a support fixture having an inner and an outer concentric support structure disposed thereon, wherein the inner concentric support structure is located from between 10% and 70% of the semiconductor wafer radius and the outer concentric support structure is located from between 70% and 100% of the semiconductor wafer radius. [29" id="US-20010001953-A1-CLM-00029] 29. A method of manufacturing an apparatus for supporting a semiconductor wafer during thermal processing, comprising the following steps: a) providing a support fixture that supports the semiconductor wafer; and b) coupling an inner and an outer concentric support structure to said support fixture. [30" id="US-20010001953-A1-CLM-00030] 30. The method of claim 29 , wherein the inner support structure is located from between 10% and 70% of the semiconductor wafer radius and the outer concentric support structure is located from between 70% and 100% of the semiconductor wafer radius. [31" id="US-20010001953-A1-CLM-00031] 31. The method of claim 30 , wherein the inner and outer concentric support structures each comprise a ring. [32" id="US-20010001953-A1-CLM-00032] 32. The method of claim 30 , wherein each concentric support structure is comprised of a plurality of spaced support points spaced apart from one another in a substantially uniform manner.
类似技术:
公开号 | 公开日 | 专利标题 US6576064B2|2003-06-10|Support apparatus for semiconductor wafer processing US5516283A|1996-05-14|Apparatus for processing a plurality of circular wafers US6171400B1|2001-01-09|Vertical semiconductor wafer carrier EP1064673B1|2008-10-01|Slip free vertical rack design having rounded horizontal arms US6099645A|2000-08-08|Vertical semiconductor wafer carrier with slats US7256375B2|2007-08-14|Susceptor plate for high temperature heat treatment US7048488B1|2006-05-23|Apparatus for transferring wafer and ring US5958198A|1999-09-28|Clamp ring for domed heated pedestal in wafer processing JP4105628B2|2008-06-25|Wafer boat with arcuate wafer support arm US7077913B2|2006-07-18|Apparatus for fabricating a semiconductor device US5494524A|1996-02-27|Vertical heat treatment device for semiconductor KR20060086372A|2006-07-31|Jig for heat treating semiconductor substrate and method for heat treating semiconductor substrate US8323411B2|2012-12-04|Semiconductor workpiece apparatus US5618351A|1997-04-08|Thermal processing apparatus and process US5679168A|1997-10-21|Thermal processing apparatus and process KR20010062581A|2001-07-07|Wafer transfer method performed with vapor thin film growth system and wafer support member used for this method JPH09199438A|1997-07-31|Heat treating jig US5626680A|1997-05-06|Thermal processing apparatus and process JP3004846B2|2000-01-31|Susceptor for vapor phase growth equipment US7022192B2|2006-04-04|Semiconductor wafer susceptor JP2004080018A|2004-03-11|Wafer boat and method for treatment of substrate KR20020061768A|2002-07-25|A Method for Depositing Diamond Films Without Fracture by a Control of Substrate Temperatures Using Powders JP2003536254A|2003-12-02|Slip resistant boat for horizontal wafers for semiconductors JP3316068B2|2002-08-19|Boat for heat treatment JP3373394B2|2003-02-04|Substrate processing apparatus and substrate processing method
同族专利:
公开号 | 公开日 US6576064B2|2003-06-10|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题 US6576064B2|1997-07-10|2003-06-10|Sandia Corporation|Support apparatus for semiconductor wafer processing| US20030150386A1|2002-01-17|2003-08-14|Hitachi Kokusai Electric, Inc.|Apparatus for fabricating a semiconductor device| US20040179183A1|2002-12-20|2004-09-16|Asml Netherlands B.V.|Lithographic apparatus, device manufacturing method and substrate holder| US20130014896A1|2011-07-15|2013-01-17|Asm Japan K.K.|Wafer-Supporting Device and Method for Producing Same| US20140238609A1|2013-02-28|2014-08-28|Tokyo Electron Limited|Mounting table and plasma processing apparatus| US20150034010A1|2013-08-01|2015-02-05|Samsung Electronics Co., Ltd.|Susceptor and apparatus including the same| US10023960B2|2012-09-12|2018-07-17|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor| US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function| US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method| US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10236177B1|2017-08-22|2019-03-19|ASM IP Holding B.V..|Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures| US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly| US10249577B2|2016-05-17|2019-04-02|Asm Ip Holding B.V.|Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method| US10262859B2|2016-03-24|2019-04-16|Asm Ip Holding B.V.|Process for forming a film on a substrate using multi-port injection assemblies| US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same| US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern| US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning| US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias| US10312129B2|2015-09-29|2019-06-04|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings| US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition| US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber| US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride| US10340125B2|2013-03-08|2019-07-02|Asm Ip Holding B.V.|Pulsed remote plasma method and system| US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes| US10361201B2|2013-09-27|2019-07-23|Asm Ip Holding B.V.|Semiconductor structure and device formed using selective epitaxial process| US10366864B2|2013-03-08|2019-07-30|Asm Ip Holding B.V.|Method and system for in-situ formation of intermediate reactive species| US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers| US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film| US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film| US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD| US10381226B2|2016-07-27|2019-08-13|Asm Ip Holding B.V.|Method of processing substrate| US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering| US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition| US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate| US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems| US10438965B2|2014-12-22|2019-10-08|Asm Ip Holding B.V.|Semiconductor device and manufacturing method thereof| US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap| US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures| US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same| US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures| US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning| US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film| US10480072B2|2009-04-06|2019-11-19|Asm Ip Holding B.V.|Semiconductor processing reactor and components thereof| US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma| US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system| US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber| US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method| US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures| US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures| US10541173B2|2016-07-08|2020-01-21|Asm Ip Holding B.V.|Selective deposition method to form air gaps| US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures| US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film| US10566223B2|2012-08-28|2020-02-18|Asm Ip Holdings B.V.|Systems and methods for dynamic semiconductor process scheduling| US10561975B2|2014-10-07|2020-02-18|Asm Ip Holdings B.V.|Variable conductance gas distribution apparatus and method| US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same| US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal| US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace| US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal| US10604847B2|2014-03-18|2020-03-31|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same| US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same| USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus| US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition| US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures| US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers| US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD| US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber| US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication| US10665452B2|2016-05-02|2020-05-26|Asm Ip Holdings B.V.|Source/drain performance through conformal solid state doping| US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures| US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same| WO2020120578A1|2018-12-12|2020-06-18|Aixtron Se|Substrate holder for use in a cvd reactor| US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield| US10707106B2|2011-06-06|2020-07-07|Asm Ip Holding B.V.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules| US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead| US10714335B2|2017-04-25|2020-07-14|Asm Ip Holding B.V.|Method of depositing thin film and method of manufacturing semiconductor device| US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten| US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus| US10734497B2|2017-07-18|2020-08-04|Asm Ip Holding B.V.|Methods for forming a semiconductor device structure and related semiconductor device structures| US10734244B2|2017-11-16|2020-08-04|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by the same| US10741385B2|2016-07-28|2020-08-11|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition| US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same| US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components| US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures| US10787741B2|2014-08-21|2020-09-29|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds| US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures| US10804098B2|2009-08-14|2020-10-13|Asm Ip Holding B.V.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species| US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature| US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures| USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter| US10829852B2|2018-08-16|2020-11-10|Asm Ip Holding B.V.|Gas distribution device for a wafer processing apparatus| US10832903B2|2011-10-28|2020-11-10|Asm Ip Holding B.V.|Process feed management for semiconductor substrate processing| US10847371B2|2018-03-27|2020-11-24|Asm Ip Holding B.V.|Method of forming an electrode on a substrate and a semiconductor device structure including an electrode| US10847366B2|2018-11-16|2020-11-24|Asm Ip Holding B.V.|Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process| US10847365B2|2018-10-11|2020-11-24|Asm Ip Holding B.V.|Method of forming conformal silicon carbide film by cyclic CVD| US10844484B2|2017-09-22|2020-11-24|Asm Ip Holding B.V.|Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods| USD903477S1|2018-01-24|2020-12-01|Asm Ip Holdings B.V.|Metal clamp| US10851456B2|2016-04-21|2020-12-01|Asm Ip Holding B.V.|Deposition of metal borides| US10858737B2|2014-07-28|2020-12-08|Asm Ip Holding B.V.|Showerhead assembly and components thereof| US10867788B2|2016-12-28|2020-12-15|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10867786B2|2018-03-30|2020-12-15|Asm Ip Holding B.V.|Substrate processing method| US10865475B2|2016-04-21|2020-12-15|Asm Ip Holding B.V.|Deposition of metal borides and silicides| US10872771B2|2018-01-16|2020-12-22|Asm Ip Holding B. V.|Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures| US10886123B2|2017-06-02|2021-01-05|Asm Ip Holding B.V.|Methods for forming low temperature semiconductor layers and related semiconductor device structures| US10883175B2|2018-08-09|2021-01-05|Asm Ip Holding B.V.|Vertical furnace for processing substrates and a liner for use therein| US10892156B2|2017-05-08|2021-01-12|Asm Ip Holding B.V.|Methods for forming a silicon nitride film on a substrate and related semiconductor device structures| US10896820B2|2018-02-14|2021-01-19|Asm Ip Holding B.V.|Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process| US10910262B2|2017-11-16|2021-02-02|Asm Ip Holding B.V.|Method of selectively depositing a capping layer structure on a semiconductor device structure| US10914004B2|2018-06-29|2021-02-09|Asm Ip Holding B.V.|Thin-film deposition method and manufacturing method of semiconductor device| US10923344B2|2017-10-30|2021-02-16|Asm Ip Holding B.V.|Methods for forming a semiconductor structure and related semiconductor structures| US10928731B2|2017-09-21|2021-02-23|Asm Ip Holding B.V.|Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same| US10934619B2|2016-11-15|2021-03-02|Asm Ip Holding B.V.|Gas supply unit and substrate processing apparatus including the gas supply unit| US10941490B2|2014-10-07|2021-03-09|Asm Ip Holding B.V.|Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same| US10975470B2|2018-02-23|2021-04-13|Asm Ip Holding B.V.|Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment| US11001925B2|2016-12-19|2021-05-11|Asm Ip Holding B.V.|Substrate processing apparatus| US11015245B2|2014-03-19|2021-05-25|Asm Ip Holding B.V.|Gas-phase reactor and system having exhaust plenum and components thereof| US11018047B2|2018-01-25|2021-05-25|Asm Ip Holding B.V.|Hybrid lift pin| US11018002B2|2017-07-19|2021-05-25|Asm Ip Holding B.V.|Method for selectively depositing a Group IV semiconductor and related semiconductor device structures| US11024523B2|2018-09-11|2021-06-01|Asm Ip Holding B.V.|Substrate processing apparatus and method| US11022879B2|2017-11-24|2021-06-01|Asm Ip Holding B.V.|Method of forming an enhanced unexposed photoresist layer| US11031242B2|2018-11-07|2021-06-08|Asm Ip Holding B.V.|Methods for depositing a boron doped silicon germanium film| USD922229S1|2019-06-05|2021-06-15|Asm Ip Holding B.V.|Device for controlling a temperature of a gas supply unit| US11049751B2|2018-09-14|2021-06-29|Asm Ip Holding B.V.|Cassette supply system to store and handle cassettes and processing apparatus equipped therewith| US11056344B2|2017-08-30|2021-07-06|Asm Ip Holding B.V.|Layer forming method| US11056567B2|2018-05-11|2021-07-06|Asm Ip Holding B.V.|Method of forming a doped metal carbide film on a substrate and related semiconductor device structures| US11053591B2|2018-08-06|2021-07-06|Asm Ip Holding B.V.|Multi-port gas injection system and reactor system including same| US11069510B2|2017-08-30|2021-07-20|Asm Ip Holding B.V.|Substrate processing apparatus| US11081345B2|2018-02-06|2021-08-03|Asm Ip Holding B.V.|Method of post-deposition treatment for silicon oxide film| US11087997B2|2018-10-31|2021-08-10|Asm Ip Holding B.V.|Substrate processing apparatus for processing substrates| US11088002B2|2018-03-29|2021-08-10|Asm Ip Holding B.V.|Substrate rack and a substrate processing system and method| US11114294B2|2019-03-08|2021-09-07|Asm Ip Holding B.V.|Structure including SiOC layer and method of forming same| US11114283B2|2018-03-16|2021-09-07|Asm Ip Holding B.V.|Reactor, system including the reactor, and methods of manufacturing and using same| USD930782S1|2019-08-22|2021-09-14|Asm Ip Holding B.V.|Gas distributor| US11127589B2|2019-02-01|2021-09-21|Asm Ip Holding B.V.|Method of topology-selective film formation of silicon oxide| US11127617B2|2017-11-27|2021-09-21|Asm Ip Holding B.V.|Storage device for storing wafer cassettes for use with a batch furnace| USD931978S1|2019-06-27|2021-09-28|Asm Ip Holding B.V.|Showerhead vacuum transport| US11139308B2|2015-12-29|2021-10-05|Asm Ip Holding B.V.|Atomic layer deposition of III-V compounds to form V-NAND devices| US11139191B2|2017-08-09|2021-10-05|Asm Ip Holding B.V.|Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith| US11158513B2|2018-12-13|2021-10-26|Asm Ip Holding B.V.|Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures| USD935572S1|2019-05-24|2021-11-09|Asm Ip Holding B.V.|Gas channel plate| US11171025B2|2019-01-22|2021-11-09|Asm Ip Holding B.V.|Substrate processing device| US11205585B2|2016-07-28|2021-12-21|Asm Ip Holding B.V.|Substrate processing apparatus and method of operating the same| US11217444B2|2018-11-30|2022-01-04|Asm Ip Holding B.V.|Method for forming an ultraviolet radiation responsive metal oxide-containing film| US11222772B2|2016-12-14|2022-01-11|Asm Ip Holding B.V.|Substrate processing apparatus| USD940837S1|2019-08-22|2022-01-11|Asm Ip Holding B.V.|Electrode| US11227782B2|2019-07-31|2022-01-18|Asm Ip Holding B.V.|Vertical batch furnace assembly| US11227789B2|2019-02-20|2022-01-18|Asm Ip Holding B.V.|Method and apparatus for filling a recess formed within a substrate surface| US11230766B2|2018-03-29|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method|JP3129452B2|1990-03-13|2001-01-29|富士電機株式会社|Electrostatic chuck| JP3058901B2|1990-09-26|2000-07-04|東京エレクトロン株式会社|Heat treatment equipment| US5192087A|1990-10-02|1993-03-09|Nippon Steel Corporation|Device for supporting a wafer| JP3234617B2|1991-12-16|2001-12-04|東京エレクトロン株式会社|Substrate support for heat treatment equipment| US5738165A|1993-05-07|1998-04-14|Nikon Corporation|Substrate holding apparatus| US5492229A|1992-11-27|1996-02-20|Toshiba Ceramics Co., Ltd.|Vertical boat and a method for making the same| JP3250628B2|1992-12-17|2002-01-28|東芝セラミックス株式会社|Vertical semiconductor heat treatment jig| JP3125199B2|1993-03-18|2001-01-15|東京エレクトロン株式会社|Vertical heat treatment equipment| TW277139B|1993-09-16|1996-06-01|Hitachi Seisakusyo Kk|| US5645646A|1994-02-25|1997-07-08|Applied Materials, Inc.|Susceptor for deposition apparatus| US5531835A|1994-05-18|1996-07-02|Applied Materials, Inc.|Patterned susceptor to reduce electrostatic force in a CVD chamber| US5456756A|1994-09-02|1995-10-10|Advanced Micro Devices, Inc.|Holding apparatus, a metal deposition system, and a wafer processing method which preserve topographical marks on a semiconductor wafer| US5514439A|1994-10-14|1996-05-07|Sibley; Thomas|Wafer support fixtures for rapid thermal processing| JP3940823B2|1994-12-26|2007-07-04|株式会社ニコン|Stage device and control method thereof| US5685906A|1995-03-23|1997-11-11|Seh America, Inc.|Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality| US5534074A|1995-05-17|1996-07-09|Heraeus Amersil, Inc.|Vertical boat for holding semiconductor wafers| TW318274B|1995-05-26|1997-10-21|Tokyo Electron Co Ltd|| US5605574A|1995-09-20|1997-02-25|Kabushiki Kaisha Toshiba|Semiconductor wafer support apparatus and method| US5656093A|1996-03-08|1997-08-12|Applied Materials, Inc.|Wafer spacing mask for a substrate support chuck and method of fabricating same| US5980195A|1996-04-24|1999-11-09|Tokyo Electron, Ltd.|Positioning apparatus for substrates to be processed| US5926615A|1997-07-08|1999-07-20|National Science Council|Temperature compensation method for semiconductor wafers in rapid thermal processor using separated heat conducting rings as susceptors| US6576064B2|1997-07-10|2003-06-10|Sandia Corporation|Support apparatus for semiconductor wafer processing| DE19882823T1|1997-11-19|2001-03-22|Super Silicon Crystal Res Inst|Device for holding semiconductor wafers|JP2001338878A|2000-03-21|2001-12-07|Sharp Corp|Susceptor and surface treatment method| JP2003031647A|2001-07-19|2003-01-31|Hitachi Kokusai Electric Inc|Substrate processor and method for manufacturing semiconductor device| JP4633977B2|2001-08-30|2011-02-23|信越半導体株式会社|Annealed wafer manufacturing method and annealed wafer| US7727588B2|2003-09-05|2010-06-01|Yield Engineering Systems, Inc.|Apparatus for the efficient coating of substrates| US7163393B2|2004-02-02|2007-01-16|Sumitomo Mitsubishi Silicon Corporation|Heat treatment jig for semiconductor silicon substrate| JP2008512855A|2004-09-04|2008-04-24|アプライド マテリアルズ インコーポレイテッド|Substrate carrier with reduced height| CN2762903Y|2004-12-30|2006-03-08|鸿富锦精密工业(深圳)有限公司|Mechanism for cleaning optical elements| TW200725784A|2005-11-21|2007-07-01|Applied Materials Inc|Apparatus and methods for a substrate carrier having an inflatable seal| US20070141280A1|2005-12-16|2007-06-21|Applied Materials, Inc.|Substrate carrier having an interior lining| JP5071217B2|2008-04-17|2012-11-14|信越半導体株式会社|Vertical heat treatment boat and silicon wafer heat treatment method using the same| US9793148B2|2011-06-22|2017-10-17|Asm Japan K.K.|Method for positioning wafers in multiple wafer transport| TW201327897A|2011-10-28|2013-07-01|Applied Materials Inc|Rear-point-contact process for photovoltaic cells| US8946830B2|2012-04-04|2015-02-03|Asm Ip Holdings B.V.|Metal oxide protective layer for a semiconductor device| US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface| US9324811B2|2012-09-26|2016-04-26|Asm Ip Holding B.V.|Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same| US9640416B2|2012-12-26|2017-05-02|Asm Ip Holding B.V.|Single-and dual-chamber module-attachable wafer-handling chamber| US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber| US9018111B2|2013-07-22|2015-04-28|Asm Ip Holding B.V.|Semiconductor reaction chamber with plasma capabilities| US9793115B2|2013-08-14|2017-10-17|Asm Ip Holding B.V.|Structures and devices including germanium-tin films and methods of forming same| US9556516B2|2013-10-09|2017-01-31|ASM IP Holding B.V|Method for forming Ti-containing film by PEALD using TDMAT or TDEAT| US10179947B2|2013-11-26|2019-01-15|Asm Ip Holding B.V.|Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition| US9447498B2|2014-03-18|2016-09-20|Asm Ip Holding B.V.|Method for performing uniform processing in gas system-sharing multiple reaction chambers| US9404587B2|2014-04-24|2016-08-02|ASM IP Holding B.V|Lockout tagout for semiconductor vacuum valve| US9543180B2|2014-08-01|2017-01-10|Asm Ip Holding B.V.|Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum| KR102300403B1|2014-11-19|2021-09-09|에이에스엠 아이피 홀딩 비.브이.|Method of depositing thin film| US9478415B2|2015-02-13|2016-10-25|Asm Ip Holding B.V.|Method for forming film having low resistance and shallow junction depth| US9899291B2|2015-07-13|2018-02-20|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film| US10043661B2|2015-07-13|2018-08-07|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film| US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design| US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films| US9711345B2|2015-08-25|2017-07-18|Asm Ip Holding B.V.|Method for forming aluminum nitride-based film by PEALD| US9909214B2|2015-10-15|2018-03-06|Asm Ip Holding B.V.|Method for depositing dielectric film in trenches by PEALD| US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers| US10072892B2|2015-10-26|2018-09-11|Globalwafers Co., Ltd.|Semiconductor wafer support ring for heat treatment| US9455138B1|2015-11-10|2016-09-27|Asm Ip Holding B.V.|Method for forming dielectric film in trenches by PEALD using H-containing gas| US9905420B2|2015-12-01|2018-02-27|Asm Ip Holding B.V.|Methods of forming silicon germanium tin films and structures and devices including the films| US9607837B1|2015-12-21|2017-03-28|Asm Ip Holding B.V.|Method for forming silicon oxide cap layer for solid state diffusion process| US9735024B2|2015-12-28|2017-08-15|Asm Ip Holding B.V.|Method of atomic layer etching using functional group-containing fluorocarbon| US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching| US9754779B1|2016-02-19|2017-09-05|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| US10087522B2|2016-04-21|2018-10-02|Asm Ip Holding B.V.|Deposition of metal borides| US9793135B1|2016-07-14|2017-10-17|ASM IP Holding B.V|Method of cyclic dry etching using etchant film| US9812320B1|2016-07-28|2017-11-07|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10177025B2|2016-07-28|2019-01-08|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10090316B2|2016-09-01|2018-10-02|Asm Ip Holding B.V.|3D stacked multilayer semiconductor memory using doped select transistor channel| US9916980B1|2016-12-15|2018-03-13|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10103040B1|2017-03-31|2018-10-16|Asm Ip Holding B.V.|Apparatus and method for manufacturing a semiconductor device| USD830981S1|2017-04-07|2018-10-16|Asm Ip Holding B.V.|Susceptor for semiconductor substrate processing apparatus|
法律状态:
2000-08-29| AS| Assignment|Owner name: SANDIA CORPORATION, CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GRIFFITHS, STEWART K.;NILSON, ROBERT H.;REEL/FRAME:011141/0685 Effective date: 20000818 | 2003-05-21| STCF| Information on status: patent grant|Free format text: PATENTED CASE | 2006-11-07| FPAY| Fee payment|Year of fee payment: 4 | 2010-11-22| FPAY| Fee payment|Year of fee payment: 8 | 2014-11-13| FPAY| Fee payment|Year of fee payment: 12 | 2017-11-03| AS| Assignment|Owner name: NATIONAL TECHNOLOGY & ENGINEERING SOLUTIONS OF SAN Free format text: CHANGE OF NAME;ASSIGNOR:SANDIA CORPORATION;REEL/FRAME:044363/0108 Effective date: 20170501 |
优先权:
[返回顶部]
申请号 | 申请日 | 专利标题 US08/891,304|US6576064B2|1997-07-10|1997-07-10|Support apparatus for semiconductor wafer processing|US08/891,304| US6576064B2|1997-07-10|1997-07-10|Support apparatus for semiconductor wafer processing| 相关专利
Sulfonates, polymers, resist compositions and patterning process
Washing machine
Washing machine
Device for fixture finishing and tension adjusting of membrane
Structure for Equipping Band in a Plane Cathode Ray Tube
Process for preparation of 7 alpha-carboxyl 9, 11-epoxy steroids and intermediates useful therein an
国家/地区
|