专利摘要:
本発明は、600℃より低い基板温度において高密度プラズマ化学気相堆積技術を使用して基板上に低ウェットエッチング速度の窒化シリコン膜を堆積する方法に関する。この方法は、更に、プラズマ中の窒素対シリコンの比を比較的高く、且つ処理圧力を低く維持することを含む。
公开号:JP2011508434A
申请号:JP2010539811
申请日:2008-12-18
公开日:2011-03-10
发明作者:ジン ウー,;ヘマン,;ピー. ムンゲカー,;ヨン,;エス. リー,;アンチュアン ワン,
申请人:アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated;
IPC主号:H01L21-318
专利说明:

[0001] [0001]本発明は、薄膜及び被覆の堆積、パターン化及び処置に使用される装置、プロセス及び材料を伴うナノ製造技術解決策に関し、その代表例は、(これに限定されないが)半導体及び誘電体材料及びデバイス、シリコン系ウェハ、(TFTのような)フラットパネルディスプレイ、マスク及びフィルタ、(光電池、燃料電池、及びバッテリのような)エネルギー変換及び蓄積、(LED及びOLEDのような)ソリッドステート照明、磁気及び光学記憶装置、マイクロエレクトロメカニカルシステム(MEMS)及びナノエレクトロメカニカルシステム(NEMS)、マイクロオプティック及びオプトエレクトロニックデバイス、建築及び自動車用ガラス、金属及びポリマーホイル並びにパッケージングのための金属化システム、並びにマイクロ及びナノモールディングを伴う用途を含む。より詳細には、本発明は、表面に薄膜を施すことに関する。より特定すると、本発明は、化学的気相堆積(CVD)により高密度薄膜を形成する方法に関する。]
[0002] [0002]従来の熱CVDプロセスは、基板表面に反応ガスを処理し、表面からの熱で化学反応を誘起して膜を生成する。プラズマソースを使用して化学的反応を助成することで、堆積速度及び膜特性の改良が達成されている。プラズマ増強CVD(PECVD)技術は、基板表面付近の反応ゾーンへ高周波(RF)エネルギーを印加してプラズマを生成することにより、反応ガスの励起、分離及びイオン化を促進する。プラズマにおける種の高い反応性が、化学反応を生じさせるに要するエネルギーを減少させる。これは、PECVDプロセスに必要な基板温度を、在来の熱CVDプロセスに比して効果的に低下させる。基板温度の低下は、製造プロセスの収率の低下を招くことのある拡散又は他の質量運搬作用の機会を少なくするので、魅力的である。]
[0003] [0003]低い真空圧力で濃密なプラズマを形成してプラズマ種が更に反応性となるようにする高密度プラズマ(HDP)CVD技術によって更なる改良が可能となった。HDP−CVDは、高いイオン濃度を維持しながら低い分圧の反応ガスを使用できるようにする。又、HDP−CVDは、イオン化エネルギーとは無関係に加速エネルギーを制御できるようにする。パターン化されたウェハの処理に関連した差異に加えて、高密度プラズマで膜を堆積することから多数の材料変化が生じる。HDP−CVD法で膜を堆積するときには、それにより得られる膜が、他のCVD法より高い密度をもつことができる。密度の高い膜は、より高い均質性を示すと共に、エッチング又は研磨停止層としてより効果的に使用できるので、魅力的である。]
[0004] [0004]集積装置の製造に通常使用される材料は、窒化シリコンである。集積回路の前端処理における窒化シリコン膜の2つの一般的な用途は、繊細な集積装置の周りにスペーサ構造体を形成すること、及びプリメタル誘電体層と半導体基板との間のバリア層のような接触部エッチング停止層を形成することを含む。エッチング停止層として使用するときには、酸化シリコンエッチングプロセス中に低いウェットエッチング速度“WER”を示す膜の能力が望まれる。]
[0005] [0005]窒化シリコン膜内の不純物の存在は、高いエッチング速度と、エッチング停止体としての低い有用性とにしばしば相関している。成長する窒化シリコン膜に容易に合体する1つの不純物は、水素である。在来の熱CVD法で成長する窒化シリコンは、水素含有量を10%未満に減少する点で成功しているが、化学的気相堆積の基板温度は、700℃から1000℃の範囲である。高い温度は、初期の処理ステップ中に作られた装置及び材料特性を低下させ、又、破滅させることもある。]
[0006] [0006]従って、低い堆積温度で基板上に低WER窒化シリコン膜を堆積する方法がこの技術で一般的に要望され続けている。]
発明の簡単な概要

[0007] [0007]本発明は、低い堆積温度でHDP−CVDプロセスを使用して基板上に高品質の窒化シリコン膜を堆積する方法に関する。平均基板温度は、ある実施形態では、約600℃以下であり、他の実施形態では、500℃又は450℃以下である。]
[0008] [0008]本発明の一実施形態によれば、HDP−CVDプロセスを使用して処理チャンバーに配設された基板上に窒化シリコン膜を堆積する方法は、原子窒素流対原子シリコン流の平均比を約50:1以上に維持し、処理チャンバーの平均圧力を約40ミリトール以下に維持し、且つ平均基板温度を600℃以下に維持しながら、窒素及びシリコンで構成されたプロセス混合ガスを処理チャンバーへ流し込むことを含む。基板上に窒化シリコン膜を堆積するためにプロセスガスから高密度プラズマを形成する。他の実施形態において、原子窒素流対原子シリコン流の平均比は、60:1又は90:1以上であり、又、処理チャンバー内の平均圧力は、25ミリトール、15ミリトール、10ミリトール、又は5ミリトール以下である。プロセス混合ガスは、二原子窒素(N2)及びシラン(SiH4)を含むが、ジシラン(Si2H6)及びTSA(N(SiH3)3)又は他の適当な混合ガスを使用してもよい。本発明の方法で生成される窒化シリコン膜は、約500Å/分より高い速度で堆積することができ、堆積された膜は、フッ化水素酸溶液(水に1%HF)に露出されたときに5Å/分より低いウェットエッチング速度をもつことができる。]
[0009] [0009]慣習的なHDP穴埋め堆積プロセスとは対照的に、本発明のある実施形態において、堆積プロセス中にRFバイアス電力がほとんど又は全く印加されず、又、膜成長中の堆積対スパッタ比は、異なる実施形態において50:1、75:1又は100:1以上とされる。又、ある実施形態では、高密度プラズマの形成を助けるために堆積中に処理チャンバーへアルゴンガスの流れを導入する。原子窒素流と原子アルゴン流との比は、15:1から15:2でよく、又、高密度プラズマを生成するために印加されるRF電力は、平方センチメートル当たり5から15ワットでよい。]
[0010] [0010]本発明のこれら及び他の実施形態は、明細書のこれ以降の部分及び添付図面に詳細に示す。]
図面の簡単な説明

[0011] 部分的に完成された集積装置の一部分の断面図であり、本発明の一実施形態により成長される低ウェットエッチング速度(WER)窒化シリコン膜の使用によって装置の製造に利益が得られるものを示す。
本発明の一実施形態により高い密度と高い耐酸化物エッチング性とをもつ窒化シリコン膜を形成するのに含まれるステップを示すフローチャートである。
水素含有量の決定に使用されるRBS−HFS材料分析の図である。
N2:SiH4ガス流比を変化させて実施形態により成長された窒化シリコン膜のウェットエッチング速度をプロットしたグラフである。
処理チャンバー圧力を変化させて実施形態により成長された窒化シリコン膜のウェットエッチング速度をプロットしたグラフである。
本発明の方法を具現化できる高密度プラズマ化学的気相堆積システムの簡単な図である。
図6Aの例示的処理システムに関連して使用されるガスリングの簡単な断面図である。] 図6A
発明の詳細な説明

[0012] [0018]本発明は、高密度プラズマCVD技術を使用して堆積される高品質窒化シリコン膜を形成する方法に関する。この高品質の膜は、酸化シリコンに比して、その両方を同じエッチング剤に露出させたときに、低いWERを示す。低いWERは、水素含有量の低下により達成される。窒化シリコン膜における水素含有量は、10%未満でもよいし、異なる実施形態では、7%未満でもよい。本発明の技術によって堆積される窒化シリコン膜の低水素含有量は、基板を堆積中に比較的低い温度(<600℃)に維持する間に達成することができる。]
[0013] [0019]密度が高く、ウェット(又はドライ)酸化物エッチング速度が低く、誘電率が高く、光学的屈折率が高く且つ他の関連する物理的特性をもつ窒化シリコン膜から利益を得る多くの用途は、本発明の実施形態の使用により利益が得られる。]
[0014] [0020]従来のCVD窒化シリコン膜における顕著な不純物は、通常、プロセス混合ガスの水素から置き去りにされた水素である。窒化シリコン膜に残っている水素を減少することで、膜の密度が高まると共に、酸化物エッチングの酸化物/窒化物選択性が高まる。換言すれば、密度を高めることで、露出する窒化シリコンをもたない領域が浸食し続ける期間中に窒化シリコン膜がエッチングに耐える能力が高められる。]
[0015] [0021]例えば、高密度のダイナミックランダムアクセスメモリ(DRAM)の製造は、情報を記憶するのにキャパシタを利用している。各キャパシタは、リフレッシュサイクル間に電荷を蓄積するためにキャパシタンスのスレッシュホールドレベルを必要とする。各装置の収縮が、このスレッシュホールドレベルの維持を困難にする。1つのキャパシタ構造は、背の高い円筒を作ることを含み、これは、各装置の収縮において薄くされねばならないことを補償するために高背にすることができる。この形式のキャパシタンスの形状は、横方向の変位に弱く、収率に否定的な影響を及ぼす。]
[0016] [0022]キャパシタ円筒の下に金属接続部を形成するときには、そのプロセスが、キャパシタオーバービットライン(capacitor over bit line)(COB)構造と称される。上からの支持は、実質的に無制限の高さのための機械的に増強された蓄積ノード(MESH)と呼ばれる構造と同様に、窒化シリコンの層によって与えることができる。この窒化シリコンMESHを使用して、横方向の変位を防止する。図1は、この製造方法の概略を示す。キャパシタ104は、酸化シリコン領域108が除去される間にウェット酸化物エッチングから保護される。窒化シリコン層114を酸化シリコン108より非常にゆっくりとエッチングして、ウェット酸化物エッチング剤がキャパシタの形成に使用される誘電体を攻撃するのを窒化物層で防止できるようにすることが望まれる。従って、本発明の方法は、図1に示す構造を利用するDRAM装置の製造に有用である。] 図1
[0017] [0023]本発明の方法及び技術は、DRAMの製造に限定されない。他のエッチング停止層(ESL)の用途でも、おそらく、エッチング停止層を含むケースをゲート材料(例えば、ポリシリコン)又はプリメタル誘電体(PMD)の頂部に配置して使用する。更に広くは、低い基板堆積温度、及び酸化物エッチングステップに対して強い抵抗性をもつ膜から利益を得ることのできる用途は、本発明の実施形態から利益が得られる。加えて、光学的な導波管は、屈折率の高い濃密な膜を使用することにより閉じ込め性を高める。光学的な用途は、ここに述べる堆積技術で低い基板温度が可能となるので、オンチップの電気的装置との一体化が望まれるときに、特に適したものとなる。]
[0018] [0024]これらの実施形態において、プラズマ励起は、誘導性結合を通して生成できる高密度プラズマである。他の形式のプラズマソースも、単独で使用してもよいし又は誘導性プラズマと組み合わせて使用してもよい。高密度プラズマを使用すると、プロセスガスを選択するときに高い融通性が可能となる。窒素のような非常に不活性なガスをHDPプロセスにおける窒素(N)ソースとして使用できる一方、アンモニア(NH3)は、低密度PECVDプロセスにおける窒素ソースとしてより一般的である。]
[0019] [0025]ここに使用するように、高密度プラズマプロセスは、イオン密度が約1011イオン/cm3以上で且つイオン化分数(イオン/中性子比)が約10−4以上のプラズマを使用するプラズマCVDプロセスである。典型的に、HDP−CVDプロセスは、成分を同時に堆積及びスパッタリングすることを含む。本発明で実施されるHDP−CVDプロセスは、穴埋めに対して最適化できる慣習的なHDP−CVDプロセスとは若干異なる。ある実施形態では、高密度の窒化シリコン膜が、バイアス電力を実質的に減少して又はバイアス電力を有することなく得られるので、スパッタリングは、スパッタリングを促進するために著しいバイアス電力を使用するHDP−CVDプロセスよりも少ない。慣習的なHDPプロセスパラメータからこのようにそれるにも関わらず、スパッタリング及び堆積速度を含む特性は、有用であり、それ故、以下に定義する。]
[0020] [0026]高密度プラズマの複合堆積及びスパッタリング特性の相対的レベルは、混合ガスを供給するために使用されるガス流量、プラズマを維持するために適用される電源電力レベル、基板に印加されるバイアス電力、等のファクタに依存し得る。このようなファクタの組合せは、プロセスを特徴付けるための時々D/Sで表される「堆積/スパッタ比」で便利に定量化することができる。]
[0021] ]
[0022] 堆積/スパッタ比は、堆積の増加で増加し、スパッタの増加で減少する。D/Sの定義に使用される「正味堆積速度」とは、堆積及びスパッタリングが同時に行われているときに測定される堆積速度を指す。「ブランケットスパッタ速度」とは、堆積ガスを有することなくプロセスレシピが実行されるときに測定されるスパッタ速度であり、又、処理チャンバー内の圧力は、堆積中の圧力及びブランケット熱酸化物において測定されるスパッタ速度に対して調整される。]
[0023] [0027]当業者に知られているように、他の同等の尺度を使用して、HDPプロセスの相対的堆積及びスパッタの貢献を定量化することができる。別の一般的な比は、「エッチング/体積比」である。]
[0024] ]
[0025] これは、スパッタの増加で増加し、堆積の増加で減少する。E/Dの定義において使用される「正味堆積速度」は、この場合も、堆積及びスパッタリングが同時に行われているときに測定される堆積速度を指す。しかしながら、「ソースのみの堆積速度」とは、スパッタリングを有することなくプロセスレシピが実行されるときに測定される堆積速度を指す。本発明の実施形態は、ここでは、D/S比に関して説明する。D/S及びE/Dは、正確な逆数ではないが、逆数の関係があり、それらの間の変換は、当業者に理解されよう。]
[0026] [0028]典型的なHDP−CVDプロセスは、幾何学形状の穴埋めに向かって調整される。これらのプロセスでは、バイアス電力を使用して成長面に向かうイオンを加速し、狭い範囲の接近軌道を生じさせる。このように狭めることとスパッタリング作用とが組み合わされて、ビアの最上角が一緒になってボイドを形成する前に、幾つかのギャップを埋めることができる。このようなギャップ埋め用途におけるD/S比は、多くの場合、3から10の範囲であるが、幾つかの風変わりな用途では、D/S比が25にも達する。本発明の一実施形態は、バイアス電力がほとんど又は全くないHDP−CVDプロセスを使用して得られる高密度の窒化シリコン膜を形成することを含む。これらの条件のもとでのブランケットスパッタ速度は、低くて、測定が困難であるが、D/S比は、一般的に、ほぼ100より高いことを期待できる。]
[0027] [0029]本発明を良く認識し理解するために、本発明の一実施形態により高い密度と高い耐酸化物エッチング性とをもつ窒化シリコン膜を形成するのに含まれるステップを示すフローチャートである図2を参照する。これらのステップは、後で詳細に述べるが、ここでは、一般的に説明する。図2に示すように、基板を処理チャンバーへ移送し(ステップ204)、真空ポンプで排気する。ガスを流し始める(ステップ212、216)前、後、又はその間に、プラズマソースに電力を印加し(ステップ208)、更に、流れを終了する(ステップ226、230)前、後、又はその間まで、電力を保持する。ステップ212の間に、先駆物質ガスの流れ及びフルーエント(fluent)ガス(図2には示さず)を反応領域に配送して、プロセス混合ガスを生成する。プロセス混合ガスは、基板上に窒化シリコン膜を形成する窒素及びシリコンのソースをなす(ステップ220)。先駆物質ガスは、シリコン含有ガス、例えば、シラン(SiH4)と、窒素(N)含有ガス、例えば、窒素分子(N2)とを含むことができる。他のガスも使用できる。シリコン及び窒素の両方を含む分子も入手でき、1つ以上の先駆物質ガスとして使用することができる。] 図2
[0028] [0030]一般的に、シリコン及び窒素のソースは、反応領域又はその付近で混合を開始するように異なる配送チャンネルを通して導入される。又、プロセス混合ガスの他の成分からのイオン種の生成を容易にするために、フルーエントガスを導入することもできる。例えば、アルゴンは、N2より容易にイオン化され、一実施形態では、プラズマに電子を与えることができ、プラズマは、次いで、N2を分離及びイオン化する上で助けとなる。この作用は、化学的反応の確率及び堆積速度を高める。フルーエントガスは、シリコン及び窒素ソースのいずれか又は両方と同じ配送チャンネルを通して、或いは異なるチャンネルを一緒に通して導入することができる。]
[0029] [0031]本発明の実施形態は、窒化シリコン膜における水素の濃度を減少するために窒素(N)とシリコン(Si)の原子流比を高く維持する。本質的に、原子窒素は、成長する窒化シリコン網から水素を除去する上で助けとなる。一実施形態では、25:1から40:1(N2:SiH4)のガス流量比、又はより一般的には、50:1から80:1(N:Si)の原子流量比が、直接的HFS測定及び間接的WER測定により決定される水素含有量を最小にすることが分かった。本発明は、水素の組込みを最小にするために異なる実施形態では25:1、30:1又は45:1以上のN2:SiH4比(又はそれと同等であるが、50:1、60:1又は90:1以上のN:Si比)を使用する。]
[0030] [0032]より一般的な原子流量比N:Siが、各先駆物質ガスのガス流量比と、分子当たりの各原子の合計数から計算される。1つの先駆物質がN2で、別の先駆物質がSiH4である実施形態では、窒素の各分子が2つの窒素原子を含み、一方、各シランは、1つのシリコン原子しか含まない。マスフローコントローラを使用して、ガス流量比を、例えば、30:1より高く維持すると、原子流量比が60:1より高くなる。別の実施形態において、先駆物質ガスは、窒素及びシリコンの両方を含む少なくとも1つのガスを含む。原子流量比を計算するときには、全ての貢献の原子流量が含まれる。]
[0031] [0033]N:Siの流量比を越えて、水素を最小にすることは、反応先駆物質の他の特性にも依存する。窒素(N2)及びTSA(N(SiH3)3)は、先駆物質の合理的な選択である。というのは、両分子における窒素(N)が水素原子との直接的な結合をもたないからである。先駆物質ガスにN−H結合をもたせると、窒素原子が、成長膜からの水素の除去に関与する能力を妨げる。それ故、アンモニア(NH3)は、窒素ソースとして悪い選択である。酸素を含む窒素ソース(例えば、N2O)は、酸素を網に組み込んでウェットエッチング速度も高めることにより窒化シリコン膜の特性を低下させる。]
[0032] [0034]又、反応領域の圧力を低く維持しても、水素含有量を低く維持する上で助けとなる。圧力の増加は、平均自由路を減少するので、イオン化分数及び気相力学作用を変化させ、窒化シリコン網からの水素の除去を妨げる。反応領域の圧力は、異なる実施形態では、40ミリトール、25ミリトール、15ミリトール、10ミリトール、又は5ミリトール以下でよい。]
[0033] [0035]高い流量比において低い圧力を維持するには、大きなポンプを使用してスロットルバルブを完全又はほぼ完全に開き、最低のWERで合理的な成長速度を得ることが必要となる。ポンプのポンピング容量は、チャンバーの容積及び構成と、他のファクタの中でもガス流量比とに依存する。アプライドマテリアルズ社によって製造され且つ300mmウェハ用に構成されたUltima HDPチャンバーに本発明が使用されるときには、2800リッター/秒以上のポンピング速度で、最適な膜のための成長速度が600Å/分となる。本発明の実施形態は、参考としてここに援用する“Use of Enhanced Turbomolecular Pump for Gapfill Deposition UsingHigh Flows of Low-Mass Fluent Gas”と題する米国特許第7,183,227号に開示されたポンピング構成体を使用する。高い成長速度は、高いポンピング速度を使用するか、又は本発明の実施形態より完全に最適化されるものより高くWERが上昇するのを許すことにより、可能とされる。]
[0034] [0036]基板の温度は、異なる実施形態において、600℃、500℃、又は450℃以下に維持される。処理チャンバーの頂部及び側部に供給されるRF電力は、反応領域にプラズマを生成し、これは、以下で詳細に説明する。頂部のRF電力は、一般的に、側部のRF電力より低く、合計RF電力は、300mmウェハの場合に4000ワットから10000ワット(5ワット/cm2から15ワット/cm2)の範囲である。合計RF電力は、異なる実施形態では、約5ワット/cm2から15ワット/cm2であるか、又は約5.5ワット/cm2から10ワット/cm2である。一実施形態において、ウェハに向かってイオンを加速するのに使用されるバイアスRF電力は、ゼロ又はほぼゼロである。しかしながら、本発明の実施形態の多くの利益は、バイアス電力がターンオンされるが、他のRF電力の和に比して低く又は最小に維持されて、異なる実施形態において約50、70又は100を越える堆積対スパッタ比を許す場合でも、得ることができる。]
[0035] [0037]本発明の一実施形態では、基板を堆積チャンバーへ移送する(ステップ204)。プラズマをスタートし(ステップ208)、ステップ212において窒素含有先駆物質を流す。ステップ208及び212の後に、シリコン含有先駆物質を流し(ステップ216)、膜成長が生じる(220)。次いで、シリコン含有先駆物質の流れを終了し(ステップ226)、その後、窒素含有先駆物質を流し(ステップ230)、プラズマを停止する(ステップ238)。ある実施形態では、膜成長(220)中に存在するのと少なくとも同程度に高いN:Si原子流量比を維持するために、シリコン先駆物質の流れを終了した後に窒素先駆物質の流れを終了することが望まれる。このように高いN:Si原子流量比を維持することで、成長プロセスの終わりに高い水素含有量及び低いWERをもつ窒化シリコンの薄い層が生成されるのを回避する。これは、外側の若干の単層しか探知しない特徴付けステップを遂行するときに望ましい。最後の若干の単層が異なる物理的特徴を有する場合には、バルク特性に関して誤った推論がなされる。又、例えば、エッチング停止体としての膜の有用性を最大にするために膜全体にわたり低いウェットエッチング速度を維持することも望ましい。一実施形態では、シリコン含有先駆物質が存在するときにプラズマに充分な窒素が存在することを保証することで実質的に均一な低ウェットエッチング速度が可能にされる。]
[0036] [0038]別の実施形態では、プラズマを開始する前に窒素を流す。同様に、窒素含有先駆物質を流す前にプラズマを停止してもよい。窒素含有先駆物質の流れ及びプラズマが停止されると、ウェハを堆積チャンバーから取り出すことができる(ステップ244)。図2に現れていない他のステップであって、これに限定されないが、プラズマの密度を高めるためにフルーエントガスを流したりその流れを終了したりすることを含む他のステップが存在してもよい。別の実施形態では、ステップ226と230との間の時間中に、不活性種の流れを開始し且つ増加してもよく、又、窒素の流れを減少してもよい。] 図2
[0037] [0039]当業者に知られたように、水素前方散乱分光法(HFS)及びフーリエ変換赤外線分光法(FTIR)を使用して、膜内の水素含有量を定量化した。HFSは、低濃度の水素に対して高い感度を有し、これに大きく依存している。テーブル1及び図3に実験条件を要約する。HFSでは、供試堆積膜からの鏡面散乱水素を量的に検出し、特徴付けられた白雲母サンプルを使用して得られた結果と比較する。その後、水素含有量はパーセントで報告可能である。複数のHe++ドーズを利用して、測定中に水素濃度が減少しないことを確かめる。より在来の技術であるフーリエ変換赤外線分光法(FTIR)も使用したが、感度が低いことが分かった。] 図3
[0038] ]
[0039] [0040]本発明のある実施形態の利益を確認するために、ここに述べる技術により堆積されたHDP−CVD窒化シリコン膜のWER及び水素含有量を、PECVD及びLPCVD法により堆積された窒化シリコン膜と比較する実験を行った。300mm基板用に構成され、窒化シリコン膜の成長中に2800リッター/秒のポンピング速度が適用されるUltima HDPチャンバー内で基板を処理した。基板温度は、HDP−CVD堆積中に約450℃に維持し、印加プラズマRF電力は、頂部及び側部に2000ワット及び3000ワットを印加した。成長中バイアス電力を印加しなかった。ガス流量は、シランが40sccmで、窒素が1500sccmで、アルゴンが300sccmであった。]
[0040] [0041]膜の化学的抵抗を測定するため、残留水素含有量の直接的HFS決定に加えて、WERを決定した。1%HF水溶液中でフッ化水素酸エッチングする前後に窒化シリコン膜の厚みを測定した。ウェットエッチングプロセスは、既知の時間中、25℃で持続し、エッチング速度を計算した。膜を分析し、その結果を以下の表IIのHDPとマークされた欄に示す。比較のために従来技術の結果を他の欄に示す。1%HF溶液は、36Å/分の速度で熱成長酸化シリコンをエッチングする。]
[0041] ]
[0042] [0042]一定のSiH4流量40sccmの条件のもとでN2のガス流量が変化するときのウェットエッチング速度が図4に示されている。ウェットエッチング速度は、最初、低いN2ガス流量に対して減少される。というのは、プロセスが窒素制限されるからである。この形態では、付加的な窒素がプラズマに添加されて、成長中に膜から水素がより有効に除去される。ウェットエッチング速度は、次いで、最小値を通過し、チャンバー圧力の増加のために上昇を開始する。これらの膜の準備中は一定のポンピング速度が使用された。圧力が上昇すると、プラズマにおけるガスの力(dynamics)が変化し、窒素が水素を除去する能力が低下する。プロセスは、N2:SiH4の流量比が25:1の付近においてWERの明確な改善を示し、WERは、比25:1と40:1との間で最小値を通過した後、ポンピング制限のために上昇する。] 図4
[0043] [0043]異なるポンピング速度は、WERの急上昇408の位置を変化させ、最小WER418を変更させ得る。仮定として、有効ポンピング速度が2800から4000リッター/秒へ増加すると、図4においてWERの急上昇がほぼ50:1から70:1へ移動することになる。このような変化は、膜成長速度及び/又はWERを改善できるようにする。一定のガス流量比でガス流量を増加することにより高い膜成長速度を達成できる。同様に、一定のシランガス流量でN2:SiH4ガス流量比を増加することによりWERの更なる減少を達成できる。] 図4
[0044] [0044]一定のN2流量(1500sccm)及びSiH4流量(40sccm)の条件のもとでチャンバー圧力を変化させたときのウェットエッチング速度が図5に示されている。スロットルバルブの位置を変更して有効ポンピング速度を変化させることにより圧力が変更される。図4を参照して述べた同じ理由で、チャンバー圧力が増加するにつれて、膜品質の低下(ウェットエッチング速度の増加)が明らかである。ポンピング速度が増加するにつれて膜品質が改善される。] 図4 図5
[0045] 規範的基板処理システム
[0045]本発明者は、カリフォルニア州サンタクララのアプライドマテリアルズ社により製造されたULTIMATMシステムで本発明の実施形態を具現化し、その一般的説明は、Fred C. Redeker、Farhad Moghadam、Hirogi Hanawa、Tetsuya Ishikawa、Dan Maydan、Shijian Li、Brian Lue、Robert Steger、Yaxin Wang、Manus Wong及びAshok Sinhaにより1996年7月15日に出願され、共通に譲渡された米国特許第6,170,428号“SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP-CVD REACTOR”においてなされており、その開示全体を参考としてここに援用する。システムの概観は、図6A及び図6Bを参照して以下に述べる。図6Aは、一実施形態におけるHDP−CVDシステム610の構造を概略的に示す。このシステム610は、チャンバー613と、真空システム670と、ソースプラズマシステム680Aと、バイアスプラズマシステム680Bと、ガス配送システム633と、リモートプラズマ洗浄システム650とを備えている。] 図6A 図6B
[0046] [0046]チャンバー613の上部は、酸化アルミニウム又は窒化アルミニウムのようなセラミック誘電体材料で作られたドーム614を備えている。このドーム614は、プラズマ処理領域616の上部境界を画成する。プラズマ処理領域616は、その下部が、基板617の上面及び基板支持部材618と境を接している。]
[0047] [0047]ヒータプレート623及びコールドプレート624がドーム614に載せられ、ドーム614に熱結合される。ヒータプレート623及びコールドプレート624は、ドームの温度を約100℃から200℃の範囲にわたり約±10℃以内に制御できるようにする。これは、種々のプロセスに対してドーム温度を最適化できるようにする。例えば、ドームを、堆積プロセスよりも、洗浄又はエッチングプロセスに対して高い温度に維持するのが望ましいことがある。又、ドーム温度を正確に制御することで、チャンバー内の薄片又は粒子の数が減少されると共に、堆積した層と基板との間の接着性が改善される。]
[0048] [0048]チャンバー613の下部は、チャンバーを真空システムに結合する本体部材622を含んでいる。基板支持部材618のベース部分621が、本体部材622に装着されて、それと連続的な内面を形成する。基板は、ロボットブレード(図示せず)によりチャンバー613の側部の挿入/除去開口部(図示せず)を通してチャンバー613内へ移送されたり、そこから取り出されたりする。リフトピン(図示せず)は、モータ(図示せず)の制御のもとで上下されて、基板を、上部ロード位置657におけるロボットブレードから、下部処理位置656へ移動させ、ここで、基板は、基板支持部材618の基板受け入れ部分619に載せられる。基板受け入れ部分619は、基板処理中に基板を基板支持部材618に固定する静電チャック620を備えている。好ましい実施形態において、基板支持部材618は、酸化アルミニウム又はアルミニウムセラミック材料から作られる。]
[0049] [0049]真空システム670は、スロットル本体625を含み、これは、二重ブレードスロットルバルブ626を収容し、ゲートバルブ627及びターボ分子ポンプ628に取り付けられる。スロットル本体625は、ガス流に対して最小限の障害しか与えず、対称的なポンピングを行えるようにすることに注意されたい。ゲートバルブ627は、ポンプ628をスロットル本体625から分離できると共に、スロットルバルブ626が完全に開いたときに排気流容量を制限することによってチャンバー圧力を制御することもできる。スロットルバルブ、ゲートバルブ及びターボ分子ポンプの配列は、チャンバー圧力を約1ミリトールないし約2トールまで正確に且つ安定に制御できるようにする。]
[0050] [0050]ソースプラズマシステム680Aは、ドーム614に装着された頂部コイル629及び側部コイル630を含んでいる。対称的な接地シールド(図示せず)がこれらコイル間の電気的結合を減少する。頂部コイル629は、頂部ソースRF(SRF)ジェネレータ631Aによって給電され、一方、側部コイル630は、側部SRFジェネレータ631Bによって給電され、コイルごとに独立した電力レベル及び動作周波数を許容する。この二重コイルシステムは、チャンバー613において半径方向イオン密度を制御できるようにし、これにより、プラズマの均一性を改善する。側部コイル630及び頂部コイル629は、典型的に、誘導式に駆動され、相補的な電極を必要としない。特定の実施形態において、頂部ソースRFジェネレータ631Aは、公称2MHzにおいて2500ワットまでのRF電力を発生し、又、側部ソースRFジェネレータ631Bは、公称2MHzにおいて5000ワットまでのRF電力を発生する。頂部及び側部RFジェネレータの動作周波数は、プラズマ発生効率を改善するために公称動作周波数(例えば、各々、1.7−1.9MHz及び1.9−2.1MHz)からオフセットされてもよい。]
[0051] [0051]バイアスプラズマシステム680Bは、バイアスRF(BRF)ジェネレータ631C及びバイアス整合ネットワーク632Cを備えている。バイアスプラズマシステム680Bは、基板部分617を、相補的電極として働く本体部材622に容量性結合する。バイアスプラズマシステム680Bは、ソースプラズマシステム680Aにより生成されたプラズマ種(例えば、イオン)の、基板表面への輸送を増強するように働く。特定の実施形態において、バイアスRFジェネレータは、以下で更に述べるように、5MHzより低い周波数において10000ワットまでのRF電力を発生する。]
[0052] [0052]RFジェネレータ631A及び631Bは、デジタル制御シンセサイザを含み、約1.8から約2.1MHzまでの周波数範囲にわたって動作する。各ジェネレータは、当業者が理解するように、チャンバー及びコイルからジェネレータへ戻る反射電力を測定し、最低の反射電力を得るように動作周波数を調整するRF制御回路(図示せず)を含んでいる。RFジェネレータは、典型的に、特性インピーダンスが50オームの負荷に対して動作するように設計される。ジェネレータとは異なる特性インピーダンスを有する負荷からRF電力が反射されることがある。これは、負荷へ伝達される電力を減少させる。更に、負荷から反射してジェネレータに戻る電力は、ジェネレータに過負荷を生じ、ダメージを及ぼすことがある。プラズマのインピーダンスは、他のファクタの中でも、プラズマイオン密度に依存して、5オーム未満から900オーム以上の範囲となり、且つ反射電力は、周波数の関数である場合があるので、反射電力に基づいてジェネレータの周波数を調整することで、RFジェネレータからプラズマへ伝達される電力を増加し、ジェネレータを保護する。反射電力を減少し、効率を改善する別の仕方は、整合ネットワークによるものである。]
[0053] [0053]整合ネットワーク632A及び632Bは、ジェネレータ631A及び631Bの出力インピーダンスをそれらの各コイル629及び630と整合させる。RF制御回路は、負荷が変化するときに、整合ネットワーク内のキャパシタの値を変化させて、ジェネレータを負荷に整合させることにより、両整合ネットワークを同調させることができる。RF制御回路は、負荷から反射してジェネレータへ戻る電力がある限界を越えたときに整合ネットワークを同調させることができる。一定の整合を与え、且つRF制御回路が整合ネットワークを同調するのを効果的に不能にする1つの方法は、反射電力限界を、反射電力の予想値より高くセットすることである。これは、整合ネットワークをその最も最近の状態で一定に保持することにより、幾つかの状態のもとでプラズマを安定化する上で助けとなり得る。]
[0054] [0054]他の手段も、プラズマを安定化する上で助けとなる。例えば、RF制御回路は、負荷(プラズマ)へ配送される電力を決定するのに使用でき、層の堆積中に、配送される電力を実質的に一定に保持するようにジェネレータの出力電力を増加又は減少することができる。]
[0055] [0055]ガス配送システム633は、幾つかのソース634A−634Eから、ガス配送ライン638(一部のみを図示)を経て、基板を処理するためのチャンバーへガスを供給する。当業者に明らかなように、ソース634A−634Eとして使用される実際のソース、及びチャンバー613への配送ライン638の実際の接続は、チャンバー613内で実行される堆積及び洗浄プロセスに依存して変化する。ガスは、ガスリング637及び/又は頂部ノズル645を通してチャンバー613へ導入される。図5Bは、チャンバー613の簡単な部分断面図で、ガスリング637の付加的な細部を示している。] 図5B
[0056] [0056]一実施形態において、第1及び第2のガスソース634A及び634Bと、第1及び第2のガス流量コントローラ635A’及び635B’とは、ガス配送ライン638(一部のみを図示)を経て、ガスリング637のリングプレナム636へガスを供給する。ガスリング637は、基板上に均一なガスの流れを与える複数のソースガスノズル639を有している(説明上、一部のみを図示)。ノズルの長さ及びノズルの角度は、個々のチャンバー内の特定のプロセスに対する均一性プロフィール及びガス利用効率を調整できるように変えることができる。好ましい実施形態において、ガスリング637は、酸化アルミニウムセラミックで作られた12個のソースガスノズルを有している。]
[0057] [0057]又、ガスリング637は、複数の酸化ガスノズル640も有し(一部のみを図示)、これは、好ましい実施形態において、ソースガスノズル639と同一平面で且つそれより短く、又、一実施形態では、本体プレナム641からガスを受け取る。幾つかの実施形態では、チャンバー613へガスを噴射する前にソースガス及び酸化ガスを混合しないことが望まれる。他の実施形態において、酸化ガス及びソースガスは、本体プレナム641とガスリングプレナム636との間にアパーチャー(図示せず)を設けることにより、チャンバー613へガスを噴射する前に混合されてもよい。一実施形態において、第3、第4及び第5のガスソース634C、634D及び634D’と、第3及び第4のガス流量コントローラ635C及び635D’とは、ガス配送ライン638を経て、本体プレナムへガスを供給する。643Bのような付加的なバルブ(他のバルブは図示せず)は、流量コントローラからチャンバーへのガスを遮断することができる。本発明の幾つかの実施形態の具現化において、ソース634Aは、シランSiH4ソースを備え、ソース634Bは、窒素分子N2ソースを備え、ソース634Cは、TSAソースを備え、ソース634Dは、アルゴンArソースを備え、ソース634D’は、ジシランSi2H6ソースを備える。]
[0058] [0058]可燃性、有毒性又は腐食性ガスが使用される実施形態では、堆積後にガス配送ラインに残留するガスを排除することが望まれる。これは、バルブ643Bのような三方バルブを使用して、例えば、チャンバー613を配送ライン638Aから分離すると共に、配送ライン638Aを真空フォアライン(foreline)644へ通気するように行うことができる。図6Aに示すように、643A及び643Cのような他の同様のバルブを他のガス配送ラインに組み込むことができる。このような三方バルブは、(三方バルブとチャンバーとの間の)非通気ガス配送ラインの容積を最小にするために、実用上チャンバー613に接近して配置することができる。更に、マスフローコントローラ(MFC)とチャンバーとの間又はガスソースとMFCとの間に二方(オン−オフ)バルブ(図示せず)を配置することもできる。] 図6A
[0059] [0059]再び図6Aを参照すれば、チャンバー613は、頂部ノズル645及び頂部通気部646も有している。頂部ノズル645及び頂部通気部646は、頂部及び側部のガス流を独立して制御できるようにし、これは、膜の均一性を改善すると共に、膜の堆積及びドープパラメータを微調整できるようにする。頂部通気部646は、頂部ノズル645の周りの環状開口である。一実施形態において、第1のガスソース634Aは、ソースガスノズル639及び頂部ノズル645に供給する。ソースノズルMFC635A’は、ソースガスノズル639へ配送されるガスの量を制御し、又、頂部ノズルMFC635Aは、頂部ガスノズル645へ配送されるガスの量を制御する。同様に、2つのMFC635B及び635B’は、ソース634Bのような単一の酸素ソースから頂部通気部646及び酸化ガスノズル640の両方への酸素の流れを制御するのに使用できる。幾つかの実施形態において、酸素は、側部ノズルからチャンバーへ供給されない。頂部ノズル645及び頂部通気部646へ供給されるガスは、これをチャンバー613へ流し込む前に別々に保持されてもよく、又はチャンバー613へ流れ込む前に頂部プレナム648において混合されてもよい。同じガスの別々のソースを使用して、チャンバーの種々の部分に供給してもよい。] 図6A
[0060] [0060]堆積残留物をチャンバーコンポーネントから周期的に洗浄するために、リモートマイクロ波発生プラズマ洗浄システム650が設けられる。この洗浄システムは、リアクタ空洞653において洗浄ガスソース634E(例えば、フッ素分子、三フッ化窒素、他のフルオロカーボン、又は同等のもの)からプラズマを生成するリモートマイクロ波ジェネレータ651を含んでいる。このプラズマから生じる反応種は、洗浄ガス供給ポート654を通り、アプリケータチューブ655を経て、チャンバー613へ運搬される。洗浄プラズマを収容するのに使用される材料(例えば、空洞653及びアプリケータチューブ655)は、プラズマによる攻撃に耐えるものでなければならない。リアクタ空洞653と供給ポート654との間の距離は、実際上短く保持されねばならない。というのは、望ましいプラズマ種の濃度がリアクタ空洞653からの距離と共に低下し得るからである。リモート空洞に洗浄プラズマを発生することで、効率的なマイクロ波ジェネレータを使用できると共に、チャンバーコンポーネントが、その場に形成されるプラズマに存在し得るグロー放電の温度、放射又は衝撃を受けることがないようにされる。その結果、比較的繊細なコンポーネント、例えば、静電チャック620は、その場でのプラズマ洗浄プロセスで要求され得るようにダミーウェハでカバーされたり他の方法で保護されたりする必要はない。図6Aにおいて、プラズマ洗浄システム650は、チャンバー613の上方に配設されて示されているが、他の位置を使用してもよい。] 図6A
[0061] [0061]頂部ノズルを通して供給されるソースガスの流れをチャンバーへ指向すると共に遠隔発生されたプラズマの流れを指向するために、頂部ノズルの付近にバッフル661を設けることができる。頂部ノズル645を通して供給されるソースガスは、中央通路662を通してチャンバーへ指向され、一方、洗浄ガス供給ポート654を通して供給される遠隔発生されたプラズマ種は、バッフル661によりチャンバー613の側部へ指向される。]
[0062] [0062]当業者であれば、処理パラメータが異なる処理チャンバー及び異なる処理条件で変化し、且つ本発明の精神から逸脱せずに、異なる先駆物質を使用できることが明らかであろう。又、当業者であれば、他の変形例も明らかであろう。これらの等効物及び代替物は、本発明の範囲内に包含されるものとする。それ故、本発明の範囲は、ここに述べる実施形態に限定されず、特許請求の範囲によって規定されるものとする。]
[0063] 104・・・キャパシタ、108・・・酸化シリコン領域、114・・・窒化シリコン層、610・・・HDP−CVDシステム、613・・・チャンバー、614・・・ドーム、616・・・プラズマ処理領域、617・・・基板、618・・・基板支持部材、619・・・基板受け入れ部分、620・・・静電チャック、621・・・ベース部分、622・・・本体部材、623・・・ヒータプレート、624・・・コールドプレート、625・・・スロットル本体、626・・・二重ブレードスロットルバルブ、627・・・ゲートバルブ、628・・・ターボ分子ポンプ、629・・・頂部コイル、630・・・側部コイル、631A・・・頂部SRFジェネレータ、631B・・・側部SRFジェネレータ、631C・・・BRFジェネレータ、632A、632B・・・整合ネットワーク、632C・・・バイアス整合ネットワーク、633・・・ガス配送システム、634A−634E・・・ガスソース、635A’、635B’・・・ガス流量コントローラ、636・・・リングプレナム、637・・・ガスリング、638・・・配送ライン、639・・・ソースガスノズル、640・・・酸化ガスノズル、641・・・本体プレナム、645・・・頂部ノズル、646・・・頂部通気口、648・・・頂部プレナム、650・・・リモートプラズマ洗浄システム、651・・・リモートマイクロ波ジェネレータ、653・・・リアクタ空洞、654・・・洗浄ガス供給ポート、655・・・アプリケータチューブ、656・・・下部処理位置、657・・・上部ロード位置、661・・・バッフル、670・・・真空システム、680A・・・ソースプラズマシステム、680B・・・バイアスプラズマシステム]
权利要求:

請求項1
HDP−CVDプロセスを使用して処理チャンバーに配設された基板上に窒化シリコン膜を堆積する方法において、原子窒素流対原子シリコン流の平均比を約50:1以上に維持し、上記処理チャンバー内の平均圧力を約40ミリトール以下に維持し、且つ平均基板温度を600℃以下に維持しながら、窒素及びシリコンで構成されるプロセス混合ガスを処理チャンバーへ流し込むステップと、上記基板上に上記窒化シリコン膜を堆積するために上記プロセスガスから高密度プラズマを形成するステップと、を備えた方法。
請求項2
上記原子窒素流対原子シリコン流の平均比は、約60:1以上である、請求項1に記載の方法。
請求項3
上記原子窒素流対原子シリコン流の平均比は、約90:1以上である、請求項1に記載の方法。
請求項4
上記平均基板温度は、500℃以下に維持される、請求項1に記載の方法。
請求項5
上記処理チャンバー内の平均圧力は、約15ミリトール以下である、請求項1に記載の方法。
請求項6
フッ化水素酸溶液(水に1%HF)を使用して堆積される窒化シリコン膜のウェットエッチング速度は、5Å/分未満である、請求項1に記載の方法。
請求項7
堆積される窒化シリコン膜の成長速度は、約500Å/分より高い、請求項1に記載の方法。
請求項8
アルゴンガスを上記処理チャンバーへ流し込んで、原子窒素流対原子アルゴン流の比を15:1から15:2に維持するステップを更に備えた、請求項1に記載の方法。
請求項9
上記高密度プラズマのRF電力は、基板表面の平方センチメートル当たり5から15ワットである、請求項1に記載の方法。
請求項10
上記高密度プラズマのRF電力は、基板表面の平方センチメートル当たり5.5から10ワットである、請求項1に記載の方法。
請求項11
高密度プラズマを形成する上記ステップは、窒化シリコン膜の堆積中に上記プラズマを上記基板に向かってバイアスしない、請求項1に記載の方法。
請求項12
上記HDP−CVDプロセスは、堆積対スパッタ比が約50:1より高い、請求項1に記載の方法。
請求項13
上記プロセス混合ガスは、二原子窒素(N2)と、シラン(SiH4)、ジシラン(Si2H6)及びTSA(N(SiH3)3)より成るグループからの少なくとも1つのガスとで構成される、請求項1に記載の方法。
請求項14
HDP−CVDプロセスを使用して処理チャンバーに配設された基板上に窒化シリコン膜を堆積する方法において、第1ガス流量対第2ガス流量の平均比を約25:1以上に維持し、処理チャンバー内の平均圧力を約40ミリトール以下に維持し、且つ平均基板温度を600℃以下に維持しながら、二原子窒素(N2)を第1ガス流量で、更にシラン(SiH4)を第2ガス流量で処理チャンバーへ流し込むステップと、上記基板上に上記窒化シリコン膜を堆積するために上記プロセスガスから高密度プラズマを形成するステップと、を備えた方法。
請求項15
上記第1ガス流量対第2ガス流量の平均比は、約30以上である、請求項14に記載の方法。
請求項16
上記第1ガス流量対第2ガス流量の平均比は、約40以上である、請求項14に記載の方法。
請求項17
上記平均基板温度は、500℃以下に維持される、請求項14に記載の方法。
請求項18
上記処理チャンバー内の平均圧力は、約15ミリトール以下である、請求項17に記載の方法。
請求項19
フッ化水素酸溶液(水に1%HF)を使用して堆積される窒化シリコン膜のウェットエッチング速度は、5Å/分未満である、請求項18に記載の方法。
請求項20
堆積される窒化シリコン膜の成長速度は、約500Å/分より高い、請求項18に記載の方法。
請求項21
第1ガス流量対第3ガス流量の比を15:2から15:4に維持しながら、アルゴンを第3ガス流量で上記処理チャンバーへ流し込むステップを更に備えた、請求項18に記載の方法。
請求項22
上記高密度プラズマのRF電力は、基板表面の平方センチメートル当たり5から15ワットである、請求項18に記載の方法。
請求項23
上記高密度プラズマのRF電力は、基板表面の平方センチメートル当たり5.5から10ワットである、請求項18に記載の方法。
請求項24
高密度プラズマを形成する上記ステップは、窒化シリコン膜の堆積中にプラズマを基板に向かってバイアスしない、請求項18に記載の方法。
請求項25
上記HDP−CVDプロセスは、堆積対スパッタ比が約50より高い、請求項18に記載の方法。
类似技术:
公开号 | 公开日 | 专利标题
US10381226B2|2019-08-13|Method of processing substrate
KR20190012097A|2019-02-08|부바이어스를 사용하는 peald로 막을 증착하는 방법
US10340135B2|2019-07-02|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US9406523B2|2016-08-02|Highly selective doped oxide removal method
KR20170098189A|2017-08-29|트렌치들의 측벽들 또는 평탄 표면들 상에 선택적으로 실리콘 질화물 막을 형성하는 방법
KR101907802B1|2018-12-05|하드마스크 물질
US8846525B2|2014-09-30|Hardmask materials
KR102012532B1|2019-08-20|플라즈마 활성화된 컨포멀 유전체 막 증착
US10283353B2|2019-05-07|Method of reforming insulating film deposited on substrate with recess pattern
US9023737B2|2015-05-05|Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US8415259B2|2013-04-09|Method of depositing dielectric film by modified PEALD method
US5089442A|1992-02-18|Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP3568895B2|2004-09-22|誘電層の堆積およびエッチングのための方法および装置
US6274058B1|2001-08-14|Remote plasma cleaning method for processing chambers
US10529554B2|2020-01-07|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US8647722B2|2014-02-11|Method of forming insulation film using plasma treatment cycles
KR101962317B1|2019-03-26|저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
US6846742B2|2005-01-25|Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6841203B2|2005-01-11|Method of forming titanium film by CVD
JP2519383B2|1996-07-31|窒化物上の酸化物層のエッチング方法
US4668365A|1987-05-26|Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US7628897B2|2009-12-08|Reactive ion etching for semiconductor device feature topography modification
US7109114B2|2006-09-19|HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
TWI579916B|2017-04-21|整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US6107214A|2000-08-22|Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
同族专利:
公开号 | 公开日
TWI359459B|2012-03-01|
TW200943419A|2009-10-16|
WO2009085974A2|2009-07-09|
JP5269093B2|2013-08-21|
EP2238277A2|2010-10-13|
US20090163041A1|2009-06-25|
US7678715B2|2010-03-16|
EP2238277A4|2013-03-06|
KR20100108398A|2010-10-06|
CN101981225A|2011-02-23|
WO2009085974A3|2009-09-24|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
JPH11288932A|1997-12-31|1999-10-19|Texas Instr Inc <Ti>|高品質窒化珪素の高速蒸着|
JP2002151514A|2000-06-19|2002-05-24|Applied Materials Inc|アルゴン、窒素、およびシランガスを使用した窒化珪素プラズマ処理方法|
JP2003059918A|2001-08-17|2003-02-28|Toshiba Corp|プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法|
JP2006120992A|2004-10-25|2006-05-11|C Bui Res:Kk|シリコン窒化膜の製造方法及びその製造装置|
JP2006278580A|2005-03-28|2006-10-12|Eudyna Devices Inc|半導体装置およびその製造方法、容量素子およびその製造方法、並びにmis型半導体装置およびその製造方法。|
JP2007305981A|2006-04-17|2007-11-22|Applied Materials Inc|総合プロセスモジュレーション(ipm)hdp−cvdによるギャップ充填のための新規な解決法|
WO2008117798A1|2007-03-26|2008-10-02|Tokyo Electron Limited|窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置|JP2013219198A|2012-04-09|2013-10-24|Nissin Electric Co Ltd|薄膜製造方法|
JP2016149537A|2015-01-29|2016-08-18|エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated|3dデバイスを製造するための方法及び前駆体|US4737379A|1982-09-24|1988-04-12|Energy Conversion Devices, Inc.|Plasma deposited coatings, and low temperature plasma method of making same|
DE3429899C2|1983-08-16|1989-11-09|Canon K.K., Tokio/Tokyo, Jp||
KR890004881B1|1983-10-19|1989-11-30|미쓰다 가쓰시게|플라즈마 처리 방법 및 그 장치|
US4572841A|1984-12-28|1986-02-25|Rca Corporation|Low temperature method of deposition silicon dioxide|
US6673722B1|1985-10-14|2004-01-06|Semiconductor Energy Laboratory Co., Ltd.|Microwave enhanced CVD system under magnetic field|
US6230650B1|1985-10-14|2001-05-15|Semiconductor Energy Laboratory Co., Ltd.|Microwave enhanced CVD system under magnetic field|
US4690746A|1986-02-24|1987-09-01|Genus, Inc.|Interlayer dielectric process|
KR900005118B1|1986-07-14|1990-07-19|시끼 모리야|박막 형성장치|
US5000113A|1986-12-19|1991-03-19|Applied Materials, Inc.|Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process|
US4960488A|1986-12-19|1990-10-02|Applied Materials, Inc.|Reactor chamber self-cleaning process|
US4892753A|1986-12-19|1990-01-09|Applied Materials, Inc.|Process for PECVD of silicon oxide using TEOS decomposition|
US4872947A|1986-12-19|1989-10-10|Applied Materials, Inc.|CVD of silicon oxide using TEOS decomposition and in-situ planarization process|
DE3856483D1|1987-03-18|2001-09-06|Toshiba Kawasaki Kk|Verfahren zur Herstellung von Dünnschichten|
US5874350A|1987-03-20|1999-02-23|Canon Kabushiki Kaisha|Process for preparing a functional thin film by way of the chemical reaction among active species|
US4878994A|1987-07-16|1989-11-07|Texas Instruments Incorporated|Method for etching titanium nitride local interconnects|
EP0416774B1|1989-08-28|2000-11-15|Hitachi, Ltd.|A method of treating a sample of aluminium-containing material|
JP2775345B2|1989-12-15|1998-07-16|キヤノン株式会社|プラズマ処理法及びプラズマ処理装置|
JP2960466B2|1990-03-19|1999-10-06|株式会社日立製作所|半導体デバイスの配線絶縁膜の形成方法及びその装置|
US5089442A|1990-09-20|1992-02-18|At&T Bell Laboratories|Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd|
JP2640174B2|1990-10-30|1997-08-13|三菱電機株式会社|半導体装置およびその製造方法|
EP0519079B1|1991-01-08|1999-03-03|Fujitsu Limited|Process for forming silicon oxide film|
JP3670277B2|1991-05-17|2005-07-13|ラムリサーチコーポレーションLamResearchCorporation|低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法|
US5525550A|1991-05-21|1996-06-11|Fujitsu Limited|Process for forming thin films by plasma CVD for use in the production of semiconductor devices|
US5279865A|1991-06-28|1994-01-18|Digital Equipment Corporation|High throughput interlevel dielectric gap filling process|
US5507881A|1991-09-30|1996-04-16|Fuji Electric Co., Ltd.|Thin-film solar cell and method of manufacturing same|
JP3231096B2|1991-10-15|2001-11-19|キヤノン株式会社|液体噴射記録ヘッド用基体、その製造方法および液体噴射記録ヘッドならびに液体噴射記録装置|
GB2267291B|1992-05-27|1995-02-01|Northern Telecom Ltd|Plasma deposition process|
US5271972A|1992-08-17|1993-12-21|Applied Materials, Inc.|Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity|
JP2684942B2|1992-11-30|1997-12-03|日本電気株式会社|化学気相成長法と化学気相成長装置および多層配線の製造方法|
US5624582A|1993-01-21|1997-04-29|Vlsi Technology, Inc.|Optimization of dry etching through the control of helium backside pressure|
US5665167A|1993-02-16|1997-09-09|Tokyo Electron Kabushiki Kaisha|Plasma treatment apparatus having a workpiece-side electrode grounding circuit|
US5401350A|1993-03-08|1995-03-28|Lsi Logic Corporation|Coil configurations for improved uniformity in inductively coupled plasma systems|
US5302233A|1993-03-19|1994-04-12|Micron Semiconductor, Inc.|Method for shaping features of a semiconductor structure using chemical mechanical planarization |
US5416048A|1993-04-16|1995-05-16|Micron Semiconductor, Inc.|Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage|
US5365057A|1993-07-02|1994-11-15|Litton Systems, Inc.|Light-weight night vision device|
US5614055A|1993-08-27|1997-03-25|Applied Materials, Inc.|High density plasma CVD and etching reactor|
EP0660449A3|1993-12-09|1997-01-08|Santa Barbara Res Center|Electrical feedthrough and its manufacture, and apparatus utilizing the feedthrough.|
TW293983B|1993-12-17|1996-12-21|Tokyo Electron Co Ltd||
WO1995018460A1|1993-12-27|1995-07-06|Kabushiki Kaisha Toshiba|Thin film formation method|
DE69424759T2|1993-12-28|2001-02-08|Applied Materials Inc|Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren|
US5403434A|1994-01-06|1995-04-04|Texas Instruments Incorporated|Low-temperature in-situ dry cleaning process for semiconductor wafer|
EP0668608A1|1994-02-22|1995-08-23|Applied Materials, Inc.|Electrostatic chuck with erosion-resistant electrode connection|
US5468342A|1994-04-28|1995-11-21|Cypress Semiconductor Corp.|Method of etching an oxide layer|
EP0697467A1|1994-07-21|1996-02-21|Applied Materials, Inc.|Method and apparatus for cleaning a deposition chamber|
US5494854A|1994-08-17|1996-02-27|Texas Instruments Incorporated|Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films|
JP3247270B2|1994-08-25|2002-01-15|東京エレクトロン株式会社|処理装置及びドライクリーニング方法|
JPH08167605A|1994-12-15|1996-06-25|Mitsubishi Electric Corp|シリコン窒化膜の製造方法|
JPH08225947A|1994-12-16|1996-09-03|Canon Inc|プラズマ処理方法及びプラズマ処理装置|
US5571576A|1995-02-10|1996-11-05|Watkins-Johnson|Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition|
US5753044A|1995-02-15|1998-05-19|Applied Materials, Inc.|RF plasma reactor with hybrid conductor and multi-radius dome ceiling|
US5688357A|1995-02-15|1997-11-18|Applied Materials, Inc.|Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor|
US6039851A|1995-03-22|2000-03-21|Micron Technology, Inc.|Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines|
US5571577A|1995-04-07|1996-11-05|Board Of Trustees Operating Michigan State University|Method and apparatus for plasma treatment of a surface|
FR2734402B1|1995-05-15|1997-07-18|Brouquet Pierre|Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant|
TW283250B|1995-07-10|1996-08-11|Watkins Johnson Co|Plasma enhanced chemical processing reactor and method|
US6228751B1|1995-09-08|2001-05-08|Semiconductor Energy Laboratory Co., Ltd.|Method of manufacturing a semiconductor device|
US5719085A|1995-09-29|1998-02-17|Intel Corporation|Shallow trench isolation technique|
US5599740A|1995-11-16|1997-02-04|Taiwan Semiconductor Manufacturing Company, Ltd.|Deposit-etch-deposit ozone/teos insulator layer method|
US5756400A|1995-12-08|1998-05-26|Applied Materials, Inc.|Method and apparatus for cleaning by-products from plasma chamber surfaces|
US5767628A|1995-12-20|1998-06-16|International Business Machines Corporation|Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel|
DE69623651T2|1995-12-27|2003-04-24|Lam Res Corp|Verfahren zur füllung von gräben auf einer halbleiterscheibe|
US5679606A|1995-12-27|1997-10-21|Taiwan Semiconductor Manufacturing Company, Ltd.|method of forming inter-metal-dielectric structure|
KR100267418B1|1995-12-28|2000-10-16|엔도 마코토|플라스마처리방법및플라스마처리장치|
US6191026B1|1996-01-09|2001-02-20|Applied Materials, Inc.|Method for submicron gap filling on a semiconductor substrate|
US5872052A|1996-02-12|1999-02-16|Micron Technology, Inc.|Planarization using plasma oxidized amorphous silicon|
US6200412B1|1996-02-16|2001-03-13|Novellus Systems, Inc.|Chemical vapor deposition system including dedicated cleaning gas injection|
US6042901A|1996-02-20|2000-03-28|Lam Research Corporation|Method for depositing fluorine doped silicon dioxide films|
US5669975A|1996-03-27|1997-09-23|Sony Corporation|Plasma producing method and apparatus including an inductively-coupled plasma source|
US6106678A|1996-03-29|2000-08-22|Lam Research Corporation|Method of high density plasma CVD gap-filling|
US5858876A|1996-04-01|1999-01-12|Chartered Semiconductor Manufacturing, Ltd.|Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer|
US5712185A|1996-04-23|1998-01-27|United Microelectronics|Method for forming shallow trench isolation|
US6070551A|1996-05-13|2000-06-06|Applied Materials, Inc.|Deposition chamber and method for depositing low dielectric constant films|
TW388096B|1996-06-10|2000-04-21|Texas Instruments Inc|Integrated circuit insulator and method|
US6170428B1|1996-07-15|2001-01-09|Applied Materials, Inc.|Symmetric tunable inductively coupled HDP-CVD reactor|
US5807785A|1996-08-02|1998-09-15|Applied Materials, Inc.|Low dielectric constant silicon dioxide sandwich layer|
US6342277B1|1996-08-16|2002-01-29|Licensee For Microelectronics: Asm America, Inc.|Sequential chemical vapor deposition|
US5804259A|1996-11-07|1998-09-08|Applied Materials, Inc.|Method and apparatus for depositing a multilayered low dielectric constant film|
FR2756663B1|1996-12-04|1999-02-26|Berenguer Marc|Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface|
US5953635A|1996-12-19|1999-09-14|Intel Corporation|Interlayer dielectric with a composite dielectric stack|
US6184158B1|1996-12-23|2001-02-06|Lam Research Corporation|Inductively coupled plasma CVD|
US5913140A|1996-12-23|1999-06-15|Lam Research Corporation|Method for reduction of plasma charging damage during chemical vapor deposition|
US6013584A|1997-02-19|2000-01-11|Applied Materials, Inc.|Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications|
US5990000A|1997-02-20|1999-11-23|Applied Materials, Inc.|Method and apparatus for improving gap-fill capability using chemical and physical etchbacks|
US6190233B1|1997-02-20|2001-02-20|Applied Materials, Inc.|Method and apparatus for improving gap-fill capability using chemical and physical etchbacks|
US6059643A|1997-02-21|2000-05-09|Aplex, Inc.|Apparatus and method for polishing a flat surface using a belted polishing pad|
JPH10242142A|1997-02-21|1998-09-11|Nippon Asm Kk|半導体素子とその製造方法|
US5850105A|1997-03-21|1998-12-15|Advanced Micro Devices, Inc.|Substantially planar semiconductor topography using dielectrics and chemical mechanical polish|
US6030666A|1997-03-31|2000-02-29|Lam Research Corporation|Method for microwave plasma substrate heating|
US5968610A|1997-04-02|1999-10-19|United Microelectronics Corp.|Multi-step high density plasma chemical vapor deposition process|
KR100226751B1|1997-04-10|1999-10-15|구본준|반도체 소자의 금속 배선 형성방법|
US6077786A|1997-05-08|2000-06-20|International Business Machines Corporation|Methods and apparatus for filling high aspect ratio structures with silicate glass|
US6189483B1|1997-05-29|2001-02-20|Applied Materials, Inc.|Process kit|
US6136685A|1997-06-03|2000-10-24|Applied Materials, Inc.|High deposition rate recipe for low dielectric constant films|
US5937323A|1997-06-03|1999-08-10|Applied Materials, Inc.|Sequencing of the recipe steps for the optimal low-k HDP-CVD processing|
US5872058A|1997-06-17|1999-02-16|Novellus Systems, Inc.|High aspect ratio gapfill process by using HDP|
US5869149A|1997-06-30|1999-02-09|Lam Research Corporation|Method for preparing nitrogen surface treated fluorine doped silicon dioxide films|
US6027601A|1997-07-01|2000-02-22|Applied Materials, Inc|Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor|
US6531193B2|1997-07-07|2003-03-11|The Penn State Research Foundation|Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane for stress control and coverage applications|
US6074959A|1997-09-19|2000-06-13|Applied Materials, Inc.|Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide|
US6013191A|1997-10-27|2000-01-11|Advanced Refractory Technologies, Inc.|Method of polishing CVD diamond films by oxygen plasma|
US5903106A|1997-11-17|1999-05-11|Wj Semiconductor Equipment Group, Inc.|Plasma generating apparatus having an electrostatic shield|
JP3141827B2|1997-11-20|2001-03-07|日本電気株式会社|半導体装置の製造方法|
US5976327A|1997-12-12|1999-11-02|Applied Materials, Inc.|Step coverage and overhang improvement by pedestal bias voltage modulation|
US6071573A|1997-12-30|2000-06-06|Lam Research Corporation|Process for precoating plasma CVD reactors|
US6287990B1|1998-02-11|2001-09-11|Applied Materials, Inc.|CVD plasma assisted low dielectric constant films|
JP2002505532A|1998-03-06|2002-02-19|エーエスエムアメリカインコーポレイテッド|高段差被覆性を伴うシリコン堆積方法|
US6194038B1|1998-03-20|2001-02-27|Applied Materials, Inc.|Method for deposition of a conformal layer on a substrate|
US6171917B1|1998-03-25|2001-01-09|Advanced Micro Devices, Inc.|Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source|
US6395150B1|1998-04-01|2002-05-28|Novellus Systems, Inc.|Very high aspect ratio gapfill using HDP|
US6030881A|1998-05-05|2000-02-29|Novellus Systems, Inc.|High throughput chemical vapor deposition process capable of filling high aspect ratio structures|
US6147009A|1998-06-29|2000-11-14|International Business Machines Corporation|Hydrogenated oxidized silicon carbon material|
US6037018A|1998-07-01|2000-03-14|Taiwan Semiconductor Maufacturing Company|Shallow trench isolation filled by high density plasma chemical vapor deposition|
US6203863B1|1998-11-27|2001-03-20|United Microelectronics Corp.|Method of gap filling|
US6197705B1|1999-03-18|2001-03-06|Chartered Semiconductor Manufacturing Ltd.|Method of silicon oxide and silicon glass films deposition|
US6413871B2|1999-06-22|2002-07-02|Applied Materials, Inc.|Nitrogen treatment of polished halogen-doped silicon glass|
US6465044B1|1999-07-09|2002-10-15|Silicon Valley Group, Thermal Systems Llp|Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone|
US6174808B1|1999-08-04|2001-01-16|Taiwan Semiconductor Manufacturing Company|Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS|
US6503843B1|1999-09-21|2003-01-07|Applied Materials, Inc.|Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill|
US6399489B1|1999-11-01|2002-06-04|Applied Materials, Inc.|Barrier layer deposition using HDP-CVD|
KR100343286B1|1999-11-05|2002-07-15|윤종용|웨이퍼 가장자리의 결함 요인 처리 방법|
US6372291B1|1999-12-23|2002-04-16|Applied Materials, Inc.|In situ deposition and integration of silicon nitride in a high density plasma reactor|
US20020192396A1|2000-05-11|2002-12-19|Shulin Wang|Method of titanium/titanium nitride integration|
US6559026B1|2000-05-25|2003-05-06|Applied Materials, Inc|Trench fill with HDP-CVD process including coupled high power density plasma deposition|
US6335288B1|2000-08-24|2002-01-01|Applied Materials, Inc.|Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD|
WO2002019363A2|2000-08-28|2002-03-07|Applied Materials, Inc.|Pre-polycoating of glass substrates|
US6596653B2|2001-05-11|2003-07-22|Applied Materials, Inc.|Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD|
US6740601B2|2001-05-11|2004-05-25|Applied Materials Inc.|HDP-CVD deposition process for filling high aspect ratio gaps|
US6626188B2|2001-06-28|2003-09-30|International Business Machines Corporation|Method for cleaning and preconditioning a chemical vapor deposition chamber dome|
US6596654B1|2001-08-24|2003-07-22|Novellus Systems, Inc.|Gap fill for high aspect ratio structures|
US6812153B2|2002-04-30|2004-11-02|Applied Materials Inc.|Method for high aspect ratio HDP CVD gapfill|
US6653203B1|2002-05-23|2003-11-25|Taiwan Semiconductor Manufacturing Company|Thin sidewall multi-step HDP deposition method to achieve completely filled high aspect ratio trenches|
DE10223954A1|2002-05-29|2003-12-11|Infineon Technologies Ag|Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung|
US6589611B1|2002-08-22|2003-07-08|Micron Technology, Inc.|Deposition and chamber treatment methods|
US7172792B2|2002-12-20|2007-02-06|Applied Materials, Inc.|Method for forming a high quality low temperature silicon nitride film|
US6808748B2|2003-01-23|2004-10-26|Applied Materials, Inc.|Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology|
US6924241B2|2003-02-24|2005-08-02|Promos Technologies, Inc.|Method of making a silicon nitride film that is transmissive to ultraviolet light|
US7274038B2|2003-06-30|2007-09-25|Semiconductor Energy Laboratory Co., Ltd.|Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film|
US7183227B1|2004-07-01|2007-02-27|Applied Materials, Inc.|Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas|
US20060045986A1|2004-08-30|2006-03-02|Hochberg Arthur K|Silicon nitride from aminosilane using PECVD|
US7271110B2|2005-01-05|2007-09-18|Chartered Semiconductor Manufacturing, Ltd.|High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability|US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD|
US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof|
US8741788B2|2009-08-06|2014-06-03|Applied Materials, Inc.|Formation of silicon oxide using non-carbon flowable CVD processes|
US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species|
JP5610850B2|2010-05-28|2014-10-22|三菱重工業株式会社|窒化珪素膜の製造方法及び装置|
WO2012132836A1|2011-03-28|2012-10-04|三洋電機株式会社|光電変換装置及び光電変換装置の製造方法|
US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules|
US9793148B2|2011-06-22|2017-10-17|Asm Japan K.K.|Method for positioning wafers in multiple wafer transport|
US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers|
JP6088178B2|2011-10-07|2017-03-01|株式会社日立国際電気|半導体装置の製造方法、基板処理装置およびプログラム|
KR102068956B1|2012-02-15|2020-01-23|엘지디스플레이 주식회사|박막트랜지스터, 박막트랜지스터 어레이 기판 및 이의 제조방법|
WO2013123225A1|2012-02-17|2013-08-22|Applied Materials, Inc.|Passivation film stack for silicon-based solar cells|
US8946830B2|2012-04-04|2015-02-03|Asm Ip Holdings B.V.|Metal oxide protective layer for a semiconductor device|
US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface|
US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling|
US9021985B2|2012-09-12|2015-05-05|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor|
US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead|
US9640416B2|2012-12-26|2017-05-02|Asm Ip Holding B.V.|Single-and dual-chamber module-attachable wafer-handling chamber|
US20140186544A1|2013-01-02|2014-07-03|Applied Materials, Inc.|Metal processing using high density plasma|
US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system|
US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species|
US9564309B2|2013-03-14|2017-02-07|Asm Ip Holding B.V.|Si precursors for deposition of SiN at low temperatures|
US9824881B2|2013-03-14|2017-11-21|Asm Ip Holding B.V.|Si precursors for deposition of SiN at low temperatures|
US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber|
US9018111B2|2013-07-22|2015-04-28|Asm Ip Holding B.V.|Semiconductor reaction chamber with plasma capabilities|
US9793115B2|2013-08-14|2017-10-17|Asm Ip Holding B.V.|Structures and devices including germanium-tin films and methods of forming same|
US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process|
US9556516B2|2013-10-09|2017-01-31|ASM IP Holding B.V|Method for forming Ti-containing film by PEALD using TDMAT or TDEAT|
US9543140B2|2013-10-16|2017-01-10|Asm Ip Holding B.V.|Deposition of boron and carbon containing materials|
US9576790B2|2013-10-16|2017-02-21|Asm Ip Holding B.V.|Deposition of boron and carbon containing materials|
US9605343B2|2013-11-13|2017-03-28|Asm Ip Holding B.V.|Method for forming conformal carbon films, structures conformal carbon film, and system of forming same|
US10179947B2|2013-11-26|2019-01-15|Asm Ip Holding B.V.|Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition|
US9401273B2|2013-12-11|2016-07-26|Asm Ip Holding B.V.|Atomic layer deposition of silicon carbon nitride based materials|
US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same|
US9447498B2|2014-03-18|2016-09-20|Asm Ip Holding B.V.|Method for performing uniform processing in gas system-sharing multiple reaction chambers|
US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same|
US9543180B2|2014-08-01|2017-01-10|Asm Ip Holding B.V.|Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum|
US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds|
US9576792B2|2014-09-17|2017-02-21|Asm Ip Holding B.V.|Deposition of SiN|
US9368364B2|2014-09-24|2016-06-14|Applied Materials, Inc.|Silicon etch process with tunable selectivity to SiO2 and other materials|
US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method|
KR20160059810A|2014-11-19|2016-05-27|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법|
US9564312B2|2014-11-24|2017-02-07|Lam Research Corporation|Selective inhibition in atomic layer deposition of silicon-containing films|
KR20160076208A|2014-12-22|2016-06-30|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 및 그 제조 방법|
US9478415B2|2015-02-13|2016-10-25|Asm Ip Holding B.V.|Method for forming film having low resistance and shallow junction depth|
US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method|
US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same|
US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same|
US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal|
US10043661B2|2015-07-13|2018-08-07|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film|
US9899291B2|2015-07-13|2018-02-20|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film|
US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function|
US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design|
US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films|
US10410857B2|2015-08-24|2019-09-10|Asm Ip Holding B.V.|Formation of SiN thin films|
US9711345B2|2015-08-25|2017-07-18|Asm Ip Holding B.V.|Method for forming aluminum nitride-based film by PEALD|
US9601693B1|2015-09-24|2017-03-21|Lam Research Corporation|Method for encapsulating a chalcogenide material|
US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings|
US9909214B2|2015-10-15|2018-03-06|Asm Ip Holding B.V.|Method for depositing dielectric film in trenches by PEALD|
US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers|
US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber|
US9455138B1|2015-11-10|2016-09-27|Asm Ip Holding B.V.|Method for forming dielectric film in trenches by PEALD using H-containing gas|
US9905420B2|2015-12-01|2018-02-27|Asm Ip Holding B.V.|Methods of forming silicon germanium tin films and structures and devices including the films|
US20170178899A1|2015-12-18|2017-06-22|Lam Research Corporation|Directional deposition on patterned structures|
US9607837B1|2015-12-21|2017-03-28|Asm Ip Holding B.V.|Method for forming silicon oxide cap layer for solid state diffusion process|
US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching|
US9735024B2|2015-12-28|2017-08-15|Asm Ip Holding B.V.|Method of atomic layer etching using functional group-containing fluorocarbon|
US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning|
US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US9754779B1|2016-02-19|2017-09-05|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system|
WO2017154202A1|2016-03-11|2017-09-14|大陽日酸株式会社|シリコン窒化膜の製造方法及びシリコン窒化膜|
US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes|
US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings|
US10190213B2|2016-04-21|2019-01-29|Asm Ip Holding B.V.|Deposition of metal borides|
US10087522B2|2016-04-21|2018-10-02|Asm Ip Holding B.V.|Deposition of metal borides|
US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film|
US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping|
US10157736B2|2016-05-06|2018-12-18|Lam Research Corporation|Methods of encapsulation|
KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법|
US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering|
US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps|
US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition|
US9793135B1|2016-07-14|2017-10-17|ASM IP Holding B.V|Method of cyclic dry etching using etchant film|
US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten|
KR20180012727A|2016-07-27|2018-02-06|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법|
US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US9812320B1|2016-07-28|2017-11-07|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10177025B2|2016-07-28|2019-01-08|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10629435B2|2016-07-29|2020-04-21|Lam Research Corporation|Doped ALD films for semiconductor patterning applications|
US10074543B2|2016-08-31|2018-09-11|Lam Research Corporation|High dry etch rate materials for semiconductor patterning applications|
US10090316B2|2016-09-01|2018-10-02|Asm Ip Holding B.V.|3D stacked multilayer semiconductor memory using doped select transistor channel|
US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems|
US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers|
US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap|
US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures|
US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method|
US10454029B2|2016-11-11|2019-10-22|Lam Research Corporation|Method for reducing the wet etch rate of a sin film without damaging the underlying substrate|
US10134579B2|2016-11-14|2018-11-20|Lam Research Corporation|Method for high modulus ALD SiO2 spacer|
US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride|
US9916980B1|2016-12-15|2018-03-13|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD|
US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures|
US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern|
US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures|
US10103040B1|2017-03-31|2018-10-16|Asm Ip Holding B.V.|Apparatus and method for manufacturing a semiconductor device|
USD830981S1|2017-04-07|2018-10-16|Asm Ip Holding B.V.|Susceptor for semiconductor substrate processing apparatus|
KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법|
US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures|
US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures|
CN107235470A|2017-05-26|2017-10-10|中国计量大学|一种湿法腐蚀过程中芯片正面金属及多晶硅材料的保护技术|
US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma|
US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures|
KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물|
US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures|
US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace|
US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias|
US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same|
US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield|
US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same|
US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly|
US10236177B1|2017-08-22|2019-03-19|ASM IP Holding B.V..|Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures|
USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter|
US10269559B2|2017-09-13|2019-04-23|Lam Research Corporation|Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer|
US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal|
US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber|
US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate|
US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition|
KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 방법 및 그에 의해 제조된 장치|
US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning|
USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus|
US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures|
US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus|
US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication|
US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber|
US10580645B2|2018-04-30|2020-03-03|Asm Ip Holding B.V.|Plasma enhanced atomic layer depositionof SiN using silicon-hydrohalide precursors|
US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures|
US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same|
US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components|
US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film|
US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature|
US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film|
WO2020096722A1|2018-11-08|2020-05-14|Lam Research Corporation|Nitride films with improved etch selectivity for 3d nand integration|
US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures|
US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film|
法律状态:
2010-12-01| RD03| Notification of appointment of power of attorney|Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
2010-12-11| RD04| Notification of resignation of power of attorney|Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101210 |
2011-12-17| A621| Written request for application examination|Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20111216 |
2011-12-17| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111216 |
2012-08-02| A977| Report on retrieval|Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120802 |
2012-09-05| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120904 |
2012-09-26| RD04| Notification of resignation of power of attorney|Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20120925 |
2012-11-28| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121127 |
2013-03-29| TRDD| Decision of grant or rejection written|
2013-04-10| A01| Written decision to grant a patent or to grant a registration (utility model)|Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20130409 |
2013-05-16| A61| First payment of annual fees (during grant procedure)|Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130507 |
2013-05-17| R150| Certificate of patent or registration of utility model|Free format text: JAPANESE INTERMEDIATE CODE: R150 |
2016-05-10| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
2017-05-17| LAPS| Cancellation because of no payment of annual fees|
优先权:
申请号 | 申请日 | 专利标题
[返回顶部]